DE69527563T2 - Automationssystem und -verfahren zum LSI-Entwurf - Google Patents

Automationssystem und -verfahren zum LSI-Entwurf

Info

Publication number
DE69527563T2
DE69527563T2 DE69527563T DE69527563T DE69527563T2 DE 69527563 T2 DE69527563 T2 DE 69527563T2 DE 69527563 T DE69527563 T DE 69527563T DE 69527563 T DE69527563 T DE 69527563T DE 69527563 T2 DE69527563 T2 DE 69527563T2
Authority
DE
Germany
Prior art keywords
automation system
lsi design
lsi
design
automation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69527563T
Other languages
English (en)
Other versions
DE69527563D1 (de
Inventor
Seiji Tokunoh
Noriko Matsumoto
Tamotsu Nishiyama
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Publication of DE69527563D1 publication Critical patent/DE69527563D1/de
Application granted granted Critical
Publication of DE69527563T2 publication Critical patent/DE69527563T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S706/00Data processing: artificial intelligence
    • Y10S706/902Application using ai with detail of the ai system
    • Y10S706/919Designing, planning, programming, CAD, CASE
    • Y10S706/921Layout, e.g. circuit, construction

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
DE69527563T 1994-03-24 1995-03-24 Automationssystem und -verfahren zum LSI-Entwurf Expired - Fee Related DE69527563T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP5405194 1994-03-24
JP7039882A JP2972540B2 (ja) 1994-03-24 1995-02-28 Lsi自動設計システム及びlsi自動設計方法

Publications (2)

Publication Number Publication Date
DE69527563D1 DE69527563D1 (de) 2002-09-05
DE69527563T2 true DE69527563T2 (de) 2002-11-21

Family

ID=26379291

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69527563T Expired - Fee Related DE69527563T2 (de) 1994-03-24 1995-03-24 Automationssystem und -verfahren zum LSI-Entwurf

Country Status (5)

Country Link
US (1) US5892678A (de)
EP (1) EP0674285B1 (de)
JP (1) JP2972540B2 (de)
KR (1) KR0145003B1 (de)
DE (1) DE69527563T2 (de)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6243851B1 (en) * 1998-03-27 2001-06-05 Xilinx, Inc. Heterogeneous method for determining module placement in FPGAs
US6292925B1 (en) 1998-03-27 2001-09-18 Xilinx, Inc. Context-sensitive self implementing modules
US6237129B1 (en) 1998-03-27 2001-05-22 Xilinx, Inc. Method for constraining circuit element positions in structured layouts
US6430732B1 (en) 1998-03-27 2002-08-06 Xilinx, Inc. Method for structured layout in a hardware description language
US6260182B1 (en) * 1998-03-27 2001-07-10 Xilinx, Inc. Method for specifying routing in a logic module by direct module communication
JP2000315222A (ja) 1999-04-30 2000-11-14 Matsushita Electric Ind Co Ltd 集積回路装置の設計用データベース及び集積回路装置の設計方法
JP4077578B2 (ja) 1999-04-30 2008-04-16 松下電器産業株式会社 集積回路装置の設計方法
US6366874B1 (en) * 1999-05-24 2002-04-02 Novas Software, Inc. System and method for browsing graphically an electronic design based on a hardware description language specification
JP3842489B2 (ja) * 1999-06-30 2006-11-08 株式会社東芝 回路設計装置、回路設計方法および回路設計プログラムを格納したコンピュータ読み取り可能な記録媒体
TW484016B (en) * 1999-07-28 2002-04-21 Hitachi Ltd Semiconductor integrated circuit and recording medium
JP3974300B2 (ja) 1999-11-18 2007-09-12 松下電器産業株式会社 Ipベースlsi設計システムおよび設計方法
US7082104B2 (en) * 2001-05-18 2006-07-25 Intel Corporation Network device switch
US6877146B1 (en) 2001-06-03 2005-04-05 Cadence Design Systems, Inc. Method and apparatus for routing a set of nets
US7093224B2 (en) 2001-08-28 2006-08-15 Intel Corporation Model-based logic design
US6643836B2 (en) 2001-08-29 2003-11-04 Intel Corporation Displaying information relating to a logic design
US7073156B2 (en) * 2001-08-29 2006-07-04 Intel Corporation Gate estimation process and method
US6708321B2 (en) 2001-08-29 2004-03-16 Intel Corporation Generating a function within a logic design using a dialog box
US6983427B2 (en) 2001-08-29 2006-01-03 Intel Corporation Generating a logic design
US6859913B2 (en) * 2001-08-29 2005-02-22 Intel Corporation Representing a simulation model using a hardware configuration database
US7107201B2 (en) * 2001-08-29 2006-09-12 Intel Corporation Simulating a logic design
US6721925B2 (en) 2001-08-29 2004-04-13 Intel Corporation Employing intelligent logical models to enable concise logic representations for clarity of design description and for rapid design capture
US6640329B2 (en) 2001-08-29 2003-10-28 Intel Corporation Real-time connection error checking method and process
US20030046054A1 (en) * 2001-08-29 2003-03-06 Wheeler William R. Providing modeling instrumentation with an application programming interface to a GUI application
US7130784B2 (en) * 2001-08-29 2006-10-31 Intel Corporation Logic simulation
US20030046051A1 (en) * 2001-08-29 2003-03-06 Wheeler William R. Unified design parameter dependency management method and apparatus
US6751783B1 (en) * 2001-10-30 2004-06-15 Lsi Logic Corporation System and method for optimizing an integrated circuit design
US7197724B2 (en) * 2002-01-17 2007-03-27 Intel Corporation Modeling a logic design
US20030145311A1 (en) * 2002-01-25 2003-07-31 Wheeler William R. Generating simulation code
US6990650B2 (en) 2002-01-31 2006-01-24 Cadence Design Systems, Inc. Method and apparatus for performing technology mapping
US20030217026A1 (en) * 2002-01-31 2003-11-20 Steven Teig Structure for storing a plurality os sub-networks
US7076760B2 (en) 2002-01-31 2006-07-11 Cadence Design Systems, Inc. Method and apparatus for specifying encoded sub-networks
US6854097B2 (en) * 2002-01-31 2005-02-08 Cadence Design Systems, Inc. Method and apparatus for performing technology mapping
US7383524B2 (en) * 2002-01-31 2008-06-03 Cadence Design Systems, Inc Structure for storing a plurality of sub-networks
US6857117B2 (en) * 2002-01-31 2005-02-15 Cadence Design Systems, Inc. Method and apparatus for producing a circuit description of a design
US6854098B2 (en) * 2002-01-31 2005-02-08 Cadence Design Systems, Inc. Method and apparatus for performing technology mapping
US7024639B2 (en) * 2002-01-31 2006-04-04 Cadence Design Systems, Inc. Method and apparatus for specifying encoded sub-networks
US6848086B2 (en) * 2002-01-31 2005-01-25 Cadence Design Systems, Inc. Method and apparatus for performing technology mapping
US6971083B1 (en) 2002-11-13 2005-11-29 Altera Corporation Method for programming programmable logic device with blocks that perform multiplication and other arithmetic functions
US20050120340A1 (en) * 2003-12-01 2005-06-02 Skazinski Joseph G. Apparatus, system, and method for automated generation of embedded systems software
CA2461223C (en) * 2004-03-16 2013-05-28 Stanley Phillips Apparatus for generating ozone and/or o1 using a high energy plasma discharge
GB0612433D0 (en) * 2006-06-23 2006-08-02 Ibm Method and system for defining a hierarchical structure
JP4910993B2 (ja) 2007-11-12 2012-04-04 富士通株式会社 配線設計処理方法、配線設計処理プログラムおよび配線設計処理装置
JP5100405B2 (ja) * 2008-01-16 2012-12-19 株式会社東芝 データベースの作成方法およびデータベース装置
US10878157B2 (en) * 2017-11-15 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Variant cell height integrated circuit design

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0668756B2 (ja) * 1985-04-19 1994-08-31 株式会社日立製作所 回路自動変換方法
JPS6274158A (ja) * 1985-09-27 1987-04-04 Hitachi Ltd 回路変換方式
US4964056A (en) * 1987-03-25 1990-10-16 Hitachi, Ltd. Automatic design system of logic circuit
JP2877303B2 (ja) * 1987-03-31 1999-03-31 株式会社東芝 集積回路の自動設計装置
JP2535976B2 (ja) * 1987-11-17 1996-09-18 株式会社日立製作所 形態接続構成自動作成システム
JP2801931B2 (ja) * 1989-09-07 1998-09-21 松下電器産業株式会社 論理設計処理装置および回路変換ルール翻訳装置ならびに回路変換ルール翻訳方法
JP2563663B2 (ja) * 1990-08-20 1996-12-11 松下電器産業株式会社 論理設計処理装置およびタイミング調整方法
JP2612967B2 (ja) * 1991-01-18 1997-05-21 松下電器産業株式会社 網図自動生成方法及びそのシステム
US5422833A (en) * 1991-10-30 1995-06-06 Xilinx, Inc. Method and system for propagating data type for circuit design from a high level block diagram
JPH05274390A (ja) * 1992-03-30 1993-10-22 Matsushita Electric Ind Co Ltd 回路素子割り付け方法及び遅延最適化方法並びに論理設計システム
EP0584828B1 (de) * 1992-08-26 2001-11-07 Matsushita Electric Industrial Co., Ltd. Automatisiertes LSI-Entwurfsystem und Verfahren
JP2840169B2 (ja) * 1992-12-28 1998-12-24 松下電器産業株式会社 論理回路の自動設計方法およびその装置
US5519630A (en) * 1993-03-22 1996-05-21 Matsushita Electric Industrial Co., Ltd. LSI automated design system

Also Published As

Publication number Publication date
DE69527563D1 (de) 2002-09-05
US5892678A (en) 1999-04-06
JP2972540B2 (ja) 1999-11-08
JPH07311797A (ja) 1995-11-28
EP0674285A3 (de) 1997-01-02
EP0674285A2 (de) 1995-09-27
KR0145003B1 (ko) 1998-08-17
EP0674285B1 (de) 2002-07-31

Similar Documents

Publication Publication Date Title
DE69527563T2 (de) Automationssystem und -verfahren zum LSI-Entwurf
DE69516374D1 (de) Datenverarbeitungssystem und Verfahren dafür
DE69429799D1 (de) Verfahren und einrichtung für mikrobische reduktion
KR970700962A (ko) 멀티유저-간섭 감소 장치 및 방법과 코드분할 다중 액세스 통신 시스템(method and apparatus for multiuser-interference reduction)
DE69733128D1 (de) Verfahren und system zum subtraktiven mehrträger-cdma-zugriff
DE59610918D1 (de) Magnetische lagervorrichtung und verfahren zum betrieb derselben
DE69429983D1 (de) Datenübertragungsnetz und Verfahren zum Betreiben des Netzes
DE69829255D1 (de) System und Verfahren zum Nieten
DE19580990T1 (de) Verfahren und Einrichtung zum Ausführen verzögerter Transaktionen
DE69522423T2 (de) Digitalisierstift und Verfahren
DE59404146D1 (de) Kommunikationsverfahren und -system zum computerunterstützten Drucken
DE69633771D1 (de) Verfahren und gerät zum kontaktieren
KR960015319A (ko) 복합 처리 장치 및 그것의 제어 방법
DE69840929D1 (de) System und verfahren zum betrieb von mehreren anrufzentralen
DE69636447D1 (de) Steuervorrichtung und Steuerverfahren für redundanten Manipulator
DE69507969D1 (de) Verfahren und Vorrichtung für eine mehrschichtige Halte/Fortsetzungsystemfunktion
DE69511080D1 (de) Schnittstellenanordnung und verfahren
DE69841288D1 (de) Rechner und Verfahren zum Rechnerbetrieb
DE69523173D1 (de) Beschichtungsverfahren und kontrollsystem zum beschichten
DE69722535D1 (de) Verfahren und Gerät zum Schaffen von Texturdaten
KR960011743A (ko) 데이타 처리 시스템에 관한 장치 및 방법
DE69504969D1 (de) Verfahren und vorrichtung zum tranferieren von modulen
DE69423170T2 (de) System und Verfahren zum Schaltungsentwurf
DE59508386D1 (de) Verarbeitungssystem und Verarbeitungsverfahren
NO965561D0 (no) System og fremgangsmåte for gjenvinning av forurensning

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee