DE60208639T2 - Verfahren und Vorrichtung zur Herstellung von Masken zur Benutzung mit Dipolbelichtung - Google Patents

Verfahren und Vorrichtung zur Herstellung von Masken zur Benutzung mit Dipolbelichtung Download PDF

Info

Publication number
DE60208639T2
DE60208639T2 DE60208639T DE60208639T DE60208639T2 DE 60208639 T2 DE60208639 T2 DE 60208639T2 DE 60208639 T DE60208639 T DE 60208639T DE 60208639 T DE60208639 T DE 60208639T DE 60208639 T2 DE60208639 T2 DE 60208639T2
Authority
DE
Germany
Prior art keywords
features
critical
mask
feature
vertical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60208639T
Other languages
English (en)
Other versions
DE60208639D1 (de
Inventor
Luigi Santa Cruz Capodieci
Juan Andres Wilsonville Torres Robles
Lodewijk Hubertus Van Os
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML MaskTools Netherlands BV
ASML Netherlands BV
Original Assignee
ASML MaskTools Netherlands BV
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML MaskTools Netherlands BV, ASML Netherlands BV filed Critical ASML MaskTools Netherlands BV
Application granted granted Critical
Publication of DE60208639D1 publication Critical patent/DE60208639D1/de
Publication of DE60208639T2 publication Critical patent/DE60208639T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

  • Die vorliegende Erfindung bezieht sich auf die Photolithographie und insbesondere auf die Herstellung von Masken-Layouts zur Benutzung mit Dipolbelichtung. Außerdem bezieht sich die vorliegende Erfindung auf ein Herstellungsverfahren für einen Baustein unter Verwendung eines lithographischen Gerätes mit einem Strahlungssystem zur Lieferung eines Projektionsstrahls; einem Maskentisches zum Halten einer Maske, die dazu dient, den Projektionsstrahl zu bemustern; einem Substrattisch zum Halten eines Substrates; und einem Projektionssystem zur Projektion des bemusterten Projektionsstrahls auf einen Zielabschnitt des Substrates.
  • Lithographische Projektionsgeräte (Werkzeuge) können beispielsweise bei der Herstellung von integrierten Schaltungen (ICs) verwendet werden. In einem solchen Fall enthält die Maske ein Muster der Schaltung, das einer einzelnen Schicht der integrierten Schaltung (IC) entspricht, und dieses Muster kann auf einen Zielabschnitt (z.B. mit einem oder mehreren Plättchen) auf einem Substrat (Silikon-Wafer) abgebildet werden, das mit einer Schicht strahlungsempfindlichem Material (Resist/Lack) überzogen wurde. Im allgemeinen besitzt ein einzelnes Wafer ein ganzes Netz aneinander angrenzender Zielabschnitte, die nacheinander und einer nach dem anderen über das Projektionssystem bestrahlt werden. Bei einer Art eines lithographischen Projektionsgerätes wird jeder Zielabschnitt bestrahlt, indem das gesamte Maskenmuster in einem Durchgang dem Zielabschnitt ausgesetzt wird; ein solches Gerät wird im allgemeinen Wafer Stepper genannt. In einem anderen Gerät – das allgemein als Step-and-Scan-Gerät bezeichnet wird – wird jeder Zielabschnitt bestrahlt, indem das Maskenmuster unter dem Projektionsstrahl in einer bestimmten Bezugsrichtung (der Abtastrichtung) abgetastet wird, während gleichzeitig der Substrattisch parallel oder antiparallel zu dieser Richtung abgetastet wird; da das Projektionssystem im allgemeinen einen Vergrößerungsfaktor M (im allgemeinen < 1) besitzt, beträgt die Geschwindigkeit V, mit der das Substrat abgetastet wird, einen Faktor M mal die Geschwindigkeit, mit der der Maskentisch abgetastet wird. Weitere Informationen in Bezug auf lithographische Geräte wie das hierin beschriebene, können beispielsweise in dem Dokument US 6,046,792 nachgelesen werden.
  • In einem Herstellungsverfahren, bei dem ein lithographisches Projektionsgerät verwendet wird, wird ein Maskenmuster auf ein Substrat abgebildet, das zumindest teilweise von einer Schicht strahlungsempfindlichem Material (Resist/Lack) bedeckt ist. Vor diesem Abbildungsschritt kann das Substrat verschiedenen Verfahren unterzogen werden, wie einer Vorbereitung, einem Resistüberzug und einem soft bake. Nach der Belichtung kann das Substrat weiteren Verfahren unterzogen werden wie einem bake nach der Belichtung (PEB), Entwickeln, einem hard bake und Messung/Prüfung der abgebildeten Merkmale. Diese Reihe von Verfahren wird als Grundlage dafür verwendet, um eine einzelne Schicht eines Bausteins, z.B. eine integrierte Schaltung (IC) zu bemustern. Eine solche bemusterte Schicht kann dann verschiedenen Verfahren unterworfen werden wie dem Ätzen, der Ionen-Implantation (Dotieren), dem Metallisieren, der Oxidation, dem chemisch-mechanischen Polieren etc., die alle dazu dienen, eine Schicht fertigzustellen. Wenn mehrere Schichten erforderlich sind, muss das ganze Verfahren oder eine Variante davon für jede neue Schicht wiederholt werden. Schließlich wird eine Reihe von Bausteinen auf dem Substrat (Wafer) vorhanden sein. Diese Bausteine werden dann durch eine Technik wie Dicing oder Sawing (Auseinanderschneiden) voneinander getrennt. Danach können die einzelnen Bausteine auf einem Träger montiert werden, mit Stiften verbunden werden, etc. Weitere Informationen über solche Verfahren sind beispielsweise in dem Buch "Microchip Fabrication: A Practical Guide to Semiconductor Processing", [Mikrochipherstellung: Ein praktischer Leitfaden für die Halbleiterverarbeitung], 3. Auflage, von Peter van Zant, McGraw Hill Publishing Co., 1997, ISBN 0-07-067250-4 zu finden.
  • Das lithographische Werkzeug kann zwei oder mehr Substrattische (und/oder zwei oder mehr Maskentische) besitzen. Bei diesen "mehrstufigen" Geräten können die zusätzlichen Tische parallel genutzt werden oder an einem oder mehreren Tischen können Vorbereitungsschritte durchgeführt werden, während einer oder mehrere andere Tische für die Belichtung verwendet werden. Zweistufige lithographische Werkzeuge werden beispielsweise in dem Dokument US 5,969,441 und WO 98/40791 beschrieben.
  • Die oben genannten photolithographischen Masken besiten geometrische Muster, die den Schaltungskomponenten entsprechen, die in ein Silikon-Wafer integriert werden sollen. Die Muster, die dazu verwendet werden, um diese Masken zu erstellen, werden unter Verwendung von CAD (rechnergestütztes Konstruieren)-Programmen erzeugt, wobei dieses Verfahren oft als EDA (elektronische Konstruktionsautomation) bezeichnet wird. Die meisten CAD-Programme folgen einem Satz festgelegter Konstruktionsregeln, um funktionale Masken zu erstellen. Diese Regeln werden durch Beschränkungen in der Verarbeitung und in der Konstruktion festgelegt. Die Konstruktionsregeln bestimmen beispielsweise die Abstandstoleranz zwischen den Bausteinen der Schaltung (wie Gates, Kondensatoren etc.) oder Verbindungsleitungen, um sicherzustellen, dass die Bausteine der Schaltung oder die Leitungen nicht in unerwünschter Art und Weise interagieren.
  • Natürlich besteht eines der Ziele bei der Herstellung von integrierten Schaltungen darin, die ursprüngliche Schaltungskonstruktion auf dem Wafer (über die Maske) getreu zu reproduzieren. Ein weiteres Ziel besteht darin, soviel wie möglich von dem Halbleiter-Wafer zu verwenden. Während die Größe einer integrierten Schaltung reduziert und ihre Dichte erhöht wird, nähert sich die kritische Abmessung (CD) ihres entsprechenden Maskenmusters jedoch der Auflösungsgrenze des optischen Belichtungswerkzeuges. Die Auflösung für ein Belichtungswerkzeug wird definiert als das Mindestmerkmal, das das Belichtungswerkzeug wiederholt auf dem Wafer belichten kann. Der Auflösungswert der vorhandenen Belichtungsausrüstung engt die kritische Abmessung (CD) für viele fortgeschrittene Konstruktionen integrierter Schaltungen oft ein.
  • Außerdem stehen die ständigen Verbesserungen bei der Mikroprozessorgeschwindigkeit, bei der Speicherdichte und bei niedrigem Stromverbrauch für Bauteile der Mikroelektronik in direktem Zusammenhang mit der Fähigkeit lithographischer Verfahren, Muster auf die verschiedensten Schichten eines Halbleiterbausteins zu übertragen und zu bilden. Nach dem aktuellen Stand der Technik ist ein Bemustern von kritischen Abmessungen (CDs) weit unterhalb der verfügbaren Lichtquellenwel lenlängen erforderlich. Die aktuelle Produktionswellenlänge von 248 nm wird beispielsweise zu einem Bemustern von kritischen Abmessungen (CDs) von weniger als 100 nm hin gedrängt. Dieser Trend in der Industrie wird sich fortsetzen und sich in den kommenden fünf bis zehn Jahren möglicherweise auch noch beschleunigen, wie in der "International Technology Roadmap for Semiconductors" (ITRS 2000) beschrieben.
  • Die lithographischen Verfahren, mit denen die Auflösung verbessert werden soll, während gleichzeitig die Prozessbreite und Prozessrobustheit aufrechterhalten werden, werden als RET-Techniken (Einfügen kleinerer Punktgrößen) bezeichnet, und umfassen eine große Bandbreite von Anwendungen. Beispiele dafür sind: Veränderungen in den Lichtquellen (z.B. Belichtung außerhalb der Achse), Verwendung spezieller Masken, die Lichtinterferenzphänomene ausnutzen (z.B. gedämpfte Phasenverschiebungsmasken, wechselnde Phasenverschiebungsmasken, chromlose Masken etc.) und Masken-Layoutänderungn (z.B. optische Proximitätsänderungen).
  • Bei einer Belichtung außerhalb der Achse, wie in 1 veranschaulicht, werden erhöhte Fokusbreite und Bildkontrast erzielt, indem mindestens eine der ersten Ordnungen der räumlichen Frequenzen des Musters erfasst wird. Wie in 1 gezeigt wird, umfasst ein typisches Belichtungssystem außerhalb der Achse eine Lichtquelle 11, eine Maske 12, eine Linse 13 und den mit Photoresist überzogenen Wafer 14. Bei der Dipolbelichtung ist die Lichtquelle auf zwei Pole beschränkt, um die Bedingungen für eine Abbildung mit zwei Strahlen mit theoretischem unendlichem Kontrast zu erzeugen. 2 veranschaulicht die Grundprinzipien der Dipolabbildung. Wie gezeigt, besitzt ein Dipolabbildungssystem eine Dipolöffnung 16 (oder ein anderes Dipolerzeugungselement wie ein geeignetes ablenkendes optisches Element), eine Kondensatorlinse 17, eine Maske 18, ein Projektionssystem ("Linse") 19 und den Wafer 20. Die Dipolöffnungen können verschiedene Formen und Ausrichtungen, z.B. "vertikal", "horizontal", oder einen bestimmten Winkel aufweisen. Die Begriffe "vertikal" und "horizontal", wie sie in diesem Zusammenhang im gesamten Text (und in den Ansprüchen) verwendet werden, beziehen sich auf eine Reihe or thogonaler Richtungen in der Ebene des geometrischen Musters, beispielsweise entlang der Y- und der X-Richtung eines lokalen Koordinatensystems. Beispielhafte Dipolöffnungen 16 verschiedener Größen und Formen sind in den 3(a)3(h) gezeigt. Eine detaillierte Beschreibung der Konzepte von Dipolbelichtung ist beispielsweise in der taiwanesischen Patentanmeldung Nr. 89119139 (P-0153.030-TW) wiedergegeben.
  • Wenn Dipolbelichtung verwendet wird, wird die Auflösung nur bei geometrischen Mustern mit Orientierungen senkrecht zu der Polausrichtungsachse verbessert. Eine "horizontale" Dipolausrichtung ermöglicht beispielsweise das Bemustern von "vertikalen" Linien oder Räumen unterhalb der Auflösung. In einer typischen Dipolanwendung für ein Layout mit horizontal-kritischen sowie vertikal-kritischen Mustern sind zwei Belichtungen mit zwei orthogonalen Dipolquellen erforderlich – eine für jede Belichtung. Deshalb erfordert der Einsatz von Dipolbelichtung für das Bemustern kritischer Schichten allgemeiner elektronischer Konstruktions-Layouts die Herstellung von zwei Masken-Layouts, bei denen die orthogonalen Merkmale ordnungsgemäß aufgeteilt wurden. Doch eine solche Aufteilung kann zu verschiedenen Problemen führen.
  • Genauer gesagt, um das gewünschte Muster exakt auf dem Wafer zu reproduzieren, müssen die "Schnittpunkt"-Bereiche oder "Verbindungs"-Bereiche (z.B. jeder Bereich /jede Stelle, an dem bzw. an der ein Merkmal, das in vertikaler Richtung positioniert ist, ein Merkmal schneidet, das in der horizontalen Richtung positioniert ist) identifiziert und ausgeglichen werden. Wenn beispielsweise alle vertikalen Merkmale eines bestimmten, zu druckenden Layouts in einer "vertikalen Maske" enthalten sind, und alle horizontalen Merkmale des zu druckenden Layouts in einer "horizontalen Maske" enthalten sind, wird jeder Schnittpunktbereich zwischen einem vertikalen Merkmal und einem horizontalen Merkmal im wesentlichen zwei Mal gedruckt, was wahrscheinlich zu einer unerwünschten Abweichung von dem ursprünglichen Konstruktions-Layout führt.
  • Demzufolge existiert eine Notwendigkeit für ein Verfahren zur Herstellung von Masken-Layouts zur Verwendung mit Dipolbelichtung, das "Schnittpunkt"-Bereiche zwischen orthogonalen Merkmalen ausgleicht, um eine exakte Reproduktion des gewünschten Musters auf dem Wafer zu ermöglichen.
  • "0,11 μ Abbildung in KrF Lithographie unter Verwendung von Dipolbelichtung" von Mark Eurlings et al. diskutiert Dipolabbildung und Mehrfachbelichtungs-Verfahren. WO 00/67075 beschreibt ein Verfahren zur Konstruktion von ILs, bei dem die Kantenfragmente gekennzeichnet sind.
  • Um die oben genannten Erfordernisse zu erfüllen, besteht eine Zielsetzung der vorliegenden Erfindung darin, ein Verfahren zur Herstellung von Masken-Layouts für die Verwendung mit Dipolbelichtung zur Verfügung zu stellen, das "Schnittpunkt"-Bereiche berücksichtigt und ausgleicht, die durch Merkmale geschaffen wurden, welche einander berühren.
  • Genauer gesagt, in einem Ausführungsbeispiel bezieht sich die vorliegende Erfindung auf ein Verfahren zur Herstellung komplementärer Maskenmuster zur Verwendung in einem Dipolbelichtungsverfahren, das die folgenden Schritte umfasst
    • (a) Identifizieren von horizontal-kritischen Merkmalen und vertikal-kritischen Merkmalen aus einer Vielzahl von Merkmalen, die ein Layout bilden,
    • (b) Identifizieren von Verbindungsbereichen, wobei diese Verbindungsbereiche Bereiche umfassen, in denen eines der horizontal-kritischen Merkmale mit einem anderen Merkmal des Layouts in Berührung kommt, und/oder Bereiche, in denen die vertikalkritischen Merkmale mit einem anderen Merkmal des Layouts in Berührung kommen,
    • (c) Definieren einer Gruppe primärer Parameter auf der Grundlage der Nähe oder Proximität der Vielzahl von Merkmalen zueinander,
    • (d) Herstellen eines Kantenmodifizierungsplanes für jeden Verbindungsbereich auf der Grundlage der primären Parameter,
    • (e) Herstellen eines horizontalen Maskenmusters durch Kompilation der horizontalkritischen Merkmale, wobei ein erster Abschirmplan für die vertikal- kritischen Merkmale und die Verbindungsbereiche ein horizontal-kritisches Merkmal enthält, das durch den Kantenmodifizierungsplan modifiziert wurde, und der erste Abschirmplan durch die primären Parameter definiert wird, und
    • (f) Herstellen eines vertikalen Maskenmusters durch Kompilation der vertikalen kritischen Merkmale, wobei ein zweiter Abschirmplan für die horizontal-kritischen Merkmale und die Verbindungsbereiche ein vertikal-kritisches Merkmal enthält, das durch den Kantenmodifizierungsplan modifiziert wurde, und der zweite Abschirmplan durch die primären Parameter definiert wird.
  • Auch wenn in diesem Text insbesondere auf den Einsatz der Erfindung bei der Herstellung von integrierten Schaltungen (ICs) verwiesen wird, wird ausdrücklich darauf hingewiesen, dass es auch viele andere mögliche Anwendungen für die Erfindung gibt. So kann sie beispielsweise bei der Herstellung von integrierten optischen Systemen, bei Führungs- und Erkennungsmustern für Magnetspeicher, Flüssigkristallanzeige-Panels, Dünnfilm-Magnetköpfen etc. Verwendung finden. Der Fachmann auf dem Gebiet wird verstehen, dass in dem Kontext solcher alternativer Anwendungen die Verwendung der Begriffe "Zwischenmaske", "Wafer"" oder "Plättchen" in diesem Text als durch die allgemeineren Begriffe "Maske", "Substrat" bzw. "Zielabschnitt" ersetzt angesehen werden sollte.
  • In dem vorliegenden Dokument sollen die Begriffe "Strahlung" und "Strahl" sämtliche Arten von elektromagnetischer Strahlung, einschließlich Ultraviolettstrahlung (z.B. mit einer Wellenlänge von 365, 248, 193, 157 oder 126 nm) und Extrem-Ultraviolettstrahlung (z.B. mit einer Wellenlänge in dem Bereich zwischen 5–20 nm) umfassen.
  • Der Begriff "Maske", wie er in diesem Text verwendet wird, kann umfassend als sich auf allgemeine Bemusterungseinrichtungen beziehend interpretiert werden, die dazu verwendet werden können, einen einfallenden Strahl mit einem bemusterten Querschnitt zu versehen, der einem Muster entspricht, das in einem Zielabschnitt des Substrates erzeugt werden soll; auch der Begriff "Lichtverstärker-Röhre" kann in diesem Zusammenhang verwendet werden. Neben der herkömmlichen Maske (durchsichtig oder reflektierend; binär, phasenverschiebend, hybrid etc.) gehören zu den Beispielen weiterer Bemusterungseinrichtungen
    • a) Eine programmierbare Spiegelanordnung. Ein Beispiel für eine solche Vorrichtung ist eine matrix-adressierbare Fläche mit einer viskoelastischen Kontrollschicht und einer reflektierenden Oberfläche. Das Grundprinzip hinter einem solchen Gerät ist, dass (beispielsweise) angesprochene Bereiche der reflektierenden Oberfläche einfallendes Licht als gebeugtes Licht reflektieren, während nicht angesprochene Bereiche einfallendes Licht als nicht gebeugtes Licht reflektieren. Wenn man einen entsprechenden Filter verwendet, kann das nicht gebeugte Licht aus dem reflektierten Strahl herausgefiltert werden, so dass lediglich das gebeugte Licht zurückbleibt; auf diese Art und Weise wird der Strahl gemäß dem Adressiermuster der matrix-adressierbaren Fläche bemustert. Die erforderliche Matrixadressierung kann unter Verwendung geeigneter elektronischer Einrichtungen erfolgen. Weitere Informationen über diese Spiegelanordnungen finden sich beispielsweise in den amerikanischen Patenten US 5,296,891 und US 5,523,193 .
    • b) Eine programmierbare LCD-Anordnung. Ein Beispiel für einen solchen Aufbau findet sich in dem amerikanischen Patent US 5,229,872 .
  • Das Verfahren der vorliegenden Erfindung stellt gegenüber dem Stand der Technik große Vorteile bereit. Beispielsweise liefert die vorliegende Erfindung ein einfaches Verfahren zur Herstellung komplementärer Masken-Layouts für die Verwendung mit Dipolbelichtung, das die "Schnittpunkt"-Bereiche zwischen den Merkmalen automa tisch ausgleicht, so dass eine exakte Reproduktion des gewünschten Musters auf dem Wafer möglich ist. Außerdem stellt die vorliegende Erfindung dem Masken-Designer eine zusätzliche Einrichtung zur Durchführung des optischen Proximitätskorrektur-Verfahrens (OPC) bereit.
  • Weitere Vorteile der vorliegenden Erfindung werden den Fachleuten anhand der nachfolgenden, detaillierten Beschreibung von Ausführungsbeispielen der vorliegenden Erfindung deutlich.
  • Die Erfindung selbst wird zusammen mit weiteren Zielsetzungen und Vorteilen unter Bezugnahme auf die nachfolgende, detaillierte Beschreibung und die Begleitzeichnungen noch verständlicher. Es zeigen
  • 1 die Prinzipien der Belichtung außerhalb der Achse.
  • 2 die Prinzipien der Dipolbelichtung.
  • 3(a) bis 3(h) beispielhafte Formen von Dipolquellen.
  • 4 einen beispielhaften Teil eines Design-Layouts mit horizontalkritischen (HC) Merkmalen und vertikal-kritischen (VC) Merkmalen.
  • 5(a) die VC-Merkmale des Design-Layouts von 4.
  • 5(b) die HC-Merkmale des Design-Layouts von 4.
  • 6(a) und 6(b) die komplementäre V-Maske bzw. H-Maske, die zum Drucken der vertikalen und horizontalen Merkmale des Design-Layouts von 4 verwendet wurden.
  • 7 ein allgemeines Layout der Schaltung mit zahlreichen, sich schneidenden HC-Merkmalen und VC-Merkmalen.
  • 8(a) und 8(b) die Aufteilung von VC-Merkmalen bzw. HC-Merkmalen des Layouts von 7.
  • 9(a) und 9(b) die komplementäre V-Maske bzw. H-Maske, die zum Drucken der vertikalen und horizontalen Merkmale von 7 verwendet wurden.
  • 10(a) bis 10(c) ein beispielhaftes "T"-förmiges Layout-Merkmal und die Zerlegung des "T"-förmigen Layout-Merkmals in eine V-Maske und eine H-Maske gemäß dem Verfahren der vorliegenden Erfindung.
  • 11 beispielhafte Merkmale mit Bereichen, die außerhalb des Spektrums liegen.
  • 12 ein beispielhaftes Muster-Verzeichnungsbild.
  • 13 wie Änderungen in der Pupillenform und die Zerlegungswerte das Muster-Verzeichnungsbild aus 12 beeinflussen.
  • 14 einen beispielhaften Satz von Anfangsbedingungen, die zur Bestimmung der primären Parameter gemäß der vorliegenden Erfindung verwendet werden.
  • 15(a) bis 15(c) ein beispielhaftes "T"-förmiges Layout-Merkmal und die Zerlegung des "T"-förmigen Layout-Merkmals in eine V-Maske und eine H-Maske gemäß dem Verfahren der vorliegenden Erfindung unter Verwendung der Aufteilung nach "Gräben".
  • 16(a) bis 16(c)eine Aufteilung nach "Kerben" für ein Doppelverbindungs- Merkmal.
  • 17(a) bis 17(c)die Aufteilung des gleichen Doppelverbindungs-Merkmals aus 16(a), mit der Ausnahme, dass eine Aufteilung nach "Gräben" verwendet wird.
  • 18(a) bis 18(o) beispielhafte Merkmale und ihre entsprechende H-Maske und V-Maske, die unter Verwendung der Aufteilung nach "Kerben" der vorliegenden Erfindung erzeugt wurden.
  • 19(a) bis 19(i) hybride Aufteilungsarten mit Aufteilung sowohl nach "Kerben" als auch nach "Gräben".
  • 20(a) bis 20(c) die Aufteilung nach "Kerben" für ein 7-reihiges Kammmuster mit einem Reihe-Abstand-Verhältnis von 1:2.
  • 21(a) bis 21(c) die Aufteilung nach "Gräben" für ein 7-reihiges Kammmuster mit einem Reihe-Abstand-Verhältnis von 1:2.
  • 22(a) bis 22(d) beispielhafte Simulationen von im Raum erzeugten Bildern unter Verwendung nicht optimaler Proximitätsparameter.
  • 23(a) und (b) beispielhafte Simulationen von im Raum erzeugten Bildern unter Verwendung optimaler Proximitätsparameter, die gemäß der vorliegenden Erfindung festgelegt worden sind.
  • 24 liefert ein Beispiel dafür, wie weitere OPC-Verfahren (Optische Proximitätskorrektur) zusammen mit dem Verfahren der vorliegenden Erfindung verwendet werden können.
  • 25 beschreibt auf schematische Art und Weise ein lithographisches Projektionsgerät, das für den Einsatz mit den Masken geeignet ist, die mit Hilfe der vorliegenden Erfindung entworfen worden sind.
  • Wie bekannt ist, bestehen elektronische Entwicklungs-Layouts aus mehreren hundert Millionen bis zu ein paar Milliarden polygonalen Merkmalen, die in verschiedenen relativen Ausrichtungen angeordnet sind (z.B. horizontal, vertikal, 45 Grad, 30 Grad etc.). Bei praktischen Dipol-Implementierungen werden lediglich zwei Satz orthogonaler Dipolquellen verwendet, so dass das Bemustern kritischer Merkmale auf die entsprechenden komplementären Ausrichtungen beschränkt ist. Wenn beispielsweise zwei Satz horizontal-vertikaler Dipole verwendet werden, dann können lediglich vertikal-horizontale (bzw.) kritische Muster wirksam abgebildet und gedruckt werden. Wie oben bereits erwähnt, sind typische Dipolquellen in den 3(a) bis 3(h) veranschaulicht.
  • Eine Dipolbelichtungsquelle kann unter Verwendung der nachfolgend genannten vier Parameter vollständig charakterisiert werden:
    • 1) Ausrichtung der Pole: horizontal/vertikal
    • 2) Innenradius: σin
    • 3) Außenradius: σout
    • 4) Polwinkel: ∂ (oder Polverlängerung für eine allgemeine Form).
  • Gemäß der vorliegenden Erfindung besteht ein erster Schritt in dem Verfahren der Herstellung der Masken-Layouts darin, die polygonalen Muster des gewünschten Konstruktions-Layouts in eine der folgenden Gruppen aufzuteilen
    • a) horizontal-kritisch (HC)
    • b) vertikal-kritisch (VC)
    • c) weder horizontal-kritisch noch vertikal-kritisch (NC)
  • Ein horizontal-kritisches Merkmal ist ein im wesentlichen rechteckiger Abschnitt eines Polygons, dessen "Höhe" ungefähr zwei Mal größer (oder noch größer) ist als die kritische Mindestabmessung (CD). In ähnlicher Art und Weise handelt es sich bei einem vertikal-kritischen Merkmal um einen im wesentlichen rechteckigen Abschnitt eines Polygons, dessen "Breite" ungefähr zwei Mal größer (oder noch größer) ist als die kritische Mindestabmessung (CD). Die Begriffe "Höhe" und "Breite", wie sie in diesem Zusammenhang verwendet werden, beziehen sich auf die geometrische Ausdehnung des Merkmals in der oben genannten "vertikalen" bzw. "horizontalen" Richtung. Es wird darauf hingewiesen, dass der Betrag, um den die oben genannten Abmessungen die kritische Mindestabmessung (CD) überschreiten muss, variabel ist, und von dem lithographischen Verfahren, das verwendet wird, sowie von der spezifischen Anwendung abhängt. Bei der genannten Regel von ungefähr zwei Mal so groß oder größer als die kritische Abmessung (CD) handelt es sich um eine allgemeine Regel. Doch es kann Situationen geben, in denen die Anwendung der vorliegenden Erfindung auf vertikale und horizontale Merkmale mit Breitenabmessungen bzw. Höhenabmessungen, die weniger als zwei Mal die kritische Abmessung (CD) betragen, zu einer verbesserten Druckleistung führt. Ein weiteres Verfahren zur Definition von kritischen Merkmalen erfolgt mit Hilfe des Bildseitenverhältnisses. Wenn man beispielsweise die kritischen Merkmale als jene Merkmale definiert, die einem Seitenverhältnis von 2 oder mehr entsprechen, so entspricht dies der Aussage, dass die kritischen Merkmale eine Länge von mindestens zwei Mal der kritischen Abmessung (CD) haben.
  • Nach der Kennzeichnung oder Identifizierung sämtlicher HC-Merkmale (horizontal-kritischer Merkmale) und VC-Merkmale (vertikal-kritischer Merkmale), werden alle verbleibenden Merkmale als NC-Merkmale (weder horizontal-kritisch noch vertikal-kritisch) definiert. Ein Beispiel für ein NC-Merkmal ist ein großes, quadratisches Merkmal. 4 veranschaulicht einen Teil eines (speicherartigen) Konstruktions-Layouts, das aus HC-Merkmalen 22 und VC-Merkmalen 23 besteht. NC-Merkmale 24 sind ebenfalls vorhanden.
  • In der nachfolgenden Beschreibung wird die lithographische Stardardkonvention zur Unterscheidung von Masken mit "hellem Feld" und Masken mit "dunklem Feld" übernommen. Layout-Muster bezeichnen undurchsichtige Abschnitte (d.h. Chromabschnitte) einer Maske mit einem hellen Feld, während Layout-Muster offene (d.h.gläserne) Abschnitte einer Maske mit einem dunklen Feld definieren. Meistens wird eine Maske mit hellem Feld verwendet, um Positivlack (Positiv Resist) (d.h. Lack, der löslich wird, wenn er tiefultravioletter Strahlung ausgesetzt ist) abzubilden, während eine Maske mit hellem Feld verwendet wird, um Negativlack (Negativ Resist) (d.h. Lack, der unlöslich wird, wenn er tiefultravioletter Strahlung ausgesetzt wird) abzubilden. Im Falle von Positivlack ist eine gewisse "Abschirmung" erforderlich, um VC-Merkmale zu schützen, wenn HC-Merkmale bemustert werden, und umgekehrt. Die nachfolgende Verfahrensweise gilt sowohl für Masken mit hellem Feld als auch für Masken mit dunklem Feld.
  • Wie oben beschrieben, erfordert die lithographische Verwendung von Dipolbelichtung (in ihrer allgemeinsten Implementierung) zwei Maskenbelichtungen mit komplementären Polausrichtungen. Um die beiden Masken herzustellen, müssen die HC-Merkmale 22 von den VC-Merkmalen 23 getrennt werden, wie in den 5(a) und 5(b) gezeigt wird. Insbesondere 5(a) veranschaulicht die VC-Merkmale 23 (d.h. die V-Maske) der in 4 veranschaulichten Konstruktion, wobei sowohl die HC-Merkmale 22 als auch die NC-Merkmale 24 entfernt sind. Ebenso veranschaulicht 5(b) die HC-Merkmale 22 (d.h. die H-Maske) der Konstruktion, die in 4 veranschaulicht ist, wobei sowohl die VC-Merkmale 23 als auch die NC-Merkmale entfernt sind. Es wird darauf hingewiesen, dass die NC-Merkmale 24 aus 4, die als quadratische Merkmale auf den horizontalen und vertikalen Merkmalen erscheinen, weder in der V-Maske noch in der H-Maske dargestellt sind. Außerdem sind weder die HC-Merkmale 22 noch die VC-Merkmale 23 an der Stelle des NC-Merkmals 24 unterbrochen.
  • Ein Beispiel einer komplementären Abschirmung für die V-Maske und die H-Maske, die aus dem Layout, das in 4 veranschaulicht wurde, hergestellt worden ist, ist in den 6(a) und 6(b) veranschaulicht. Es wird darauf hingewiesen, dass die NC-Merkmale je nach der Anwendung entweder in einer Maske oder in beiden platziert werden können. 6(a) entspricht der V-Maske. Wie gezeigt, sind die HC-Merkmale 22 in der V-Maske abgeschirmt, während sowohl die VC-Merkmale 23 als auch die NC-Merkmale 24 nicht abgeschirmt sind, damit die VC- und die NC-Merkmale gedruckt werden können. Unter Bezugnahme auf 6(b), die der H-Maske entspricht, sind die VC-Merkmale 23 in ähnlicher Art und Weise abgeschirmt, und die HC-Merkmale 22 sind nicht abgeschirmt, so dass die HC-Merkmale gedruckt werden. Es wird darauf hingewiesen, dass die NC-Merkmale ebenfalls in der H-Maske vorhanden sind. Während es meistens akzeptabel ist, wenn die NC-Merkmale sowohl in der V-Maske als auch in der H-Maske vorhanden sind, ist es ebenso akzeptabel, wenn die NC-Merkmale 24 nur in einer Maske vorhanden sind.
  • In dem beispielhaften Layout von 4 sind die VC-Merkmale 23 und die HC-Merkmale 23 nicht verbunden (d.h. sie sind nicht miteinander verbunden). Ein typischeres Layout einer allgemeinen Schaltung (beispielsweise eine Schaltung wie eine Prozessorlogik) ist in 7 veranschaulicht. Wie gezeigt, gibt es zahlreiche Schnittpunkte 25 oder Verbindungen zwischen den HC-Merkmalen 22 und den VC-Merkmalen 23, die in diesem Layout leicht zu identifizieren sind. Die 8(a) und 8(b) veranschaulichen die Aufteilung der VC-Merkmale 23 bzw. der HC-Merkmale 22 des Layouts aus 7. Außerdem veranschaulichen die 9(a) und 9(b) ähnlich wie die 6(a) und 6(b) die Abschirmung der HC-Merkmale in der V-Maske bzw. die Abschirmung der VC-Merkmale in der H-Maske.
  • Bei der Konstruktion der komplementären V-Maske und H-Maske für den Fall des Layouts ohne Verbindung (oder Schnittpunkt) zwischen den VC- und den HC-Merkmalen, wie in 4 gezeigt, ist die Bestimmung der erforderlichen Abschirmung ein relativ problemloses Verfahren. Doch wenn das Layout den Schnittpunkt zwischen den VC- und den HC-Merkmalen umfasst, wird die Konstruktion der V- Maske und der H-Maske wesentlich komplizierter, da es im allgemeinen keine eindeutige Art und Weise gibt, das exakte Ausmaß der HC- und VC-Merkmale zu bestimmen, da sie auf verschiedene Arten überlappen können. Die vorliegende Erfindung stellt ein Verfahren zur Herstellung von V-Masken und H-Masken für ein beliebiges Layout, einschließlich jener mit Schnittpunkten zwischen den HC-Merkmalen und VC-Merkmalen, bereit. Das neue Verfahren stellt eine verbesserte Reproduktion des gewünschten Layouts dar und stellt eine weitere Einrichtung bereit, um optischen Proximitätseffekten entgegenzuwirken.
  • Demzufolge kann die H-V Aufteilung eines Konstruktionslayouts aufgrund des Verfahrens der vorliegenden Erfindung in Verbindung mit der Dipolbelichtung verwendet werden. Wie weiter unten genauer erläutert wird, impliziert das Verfahren, ausgehend von einem einzelnen ursprünglichen Konstruktionslayout, die Herstellung eines komplementären Zwei-Masken-Satzes (V-Maske und H-Maske). Jede Maske enthält kritische Merkmale in einer bestimmten Ausrichtung, eine entsprechende Abschirmung für die komplementäre Ausrichtung und eine spezielle geometrische Änderung der Merkmale an den Schnittpunkten (oder Verbindungen) der VC- und HC-Merkmale. Die V-Masken und H-Masken können auch jede andere Art des Verfahrens der optischen Proximitätskorrektur (OPC) bzw. RET-Technik (Einfügen kleinerer Punktgrößen) wie beispielsweise Streubalken, Serife, hammerheads, Phasenverschiebung etc. enthalten.
  • Es wird nun ein Ausführungsbeispiel der vorliegenden Erfindung beschrieben. Dabei wird darauf hingewiesen, dass das Verfahren zwar die nachfolgend genannten Schritte aufweist, diese jedoch nicht in der angegebenen Reihenfolge durchgeführt werden müssen. Der erste Schritt umfasst die Identifikation von im wesentlichen rechteckigen Abschnitten der polygonalen Muster des Konstruktionslayouts, die HC-kritische Merkmale 22 sind. Wie oben angegeben, basiert die Feststellung, ob ein Merkmal ein HC-Merkmal ist, auf vorher festgelegten Kriterien wie der kritischen Abmessung (CD), die man mit dem gegebenen Lithographieverfahren/Lithographiesystem und/oder geometriespezifischen Kriterien wie Seitenverhältnis, Schwellendifferenz, absoluter Wert für Breite und Höhe etc. erhält.
  • Der zweite Schritt in dem Verfahren umfasst die Identifikation von im wesentlichen rechteckigen Abschnitten der polygonalen Muster des Konstruktionslayouts, die VC-Merkmale 23 sind. Wie bei den HC-Merkmalen, basiert die Bestimmung der VC-Merkmale auf vorher festgelegten Kriterien, wie beispielsweise der kritischen Abmessung (CD), die man mit dem gegebenen Lithographieverfahren/Lithographiesystem und/oder geometriespezifischen Kriterien wie Seitenverhältnis, Schwellendifferenz, absoluter Wert für Breite und Höhe etc. erhält. Wie oben erwähnt, besteht eine beispielhafte Regel für die Bestimmung, ob ein bestimmtes Merkmal ein HC-Merkmal ist oder nicht, darin, dass ein Polygon, dessen Höhe ungefähr zwei Mal größer (oder noch größer) ist als die kritische Mindestabmessung (CD), als HC-Merkmal bezeichnet wird. In ähnlicher Art und Weise ist eine beispielhafte Regel für die Bestimmung, ob ein bestimmtes Merkmal ein VC-Merkmal ist oder nicht, darin, dass ein Polygon, dessen Breite größer ist als die kritische Mindestabmessung (CD), als VC-Merkmal bezeichnet wird. Es wird darauf hingewiesen, dass der Grund für das Erfordernis der vorgenannten Mindestabmessung darin liegt, dass – während das Verfahren der vorliegenden Erfindung zu einer Vergrößerung oder Reduzierung der Breite (oder Höhe) des Merkmales führen kann – die Merkmale eine bestimmte Mindestbreite (oder Mindesthöhe) haben müssen, die größer ist als die kritische Mindestabmessung (CD), damit das Verfahren effektiv ist.
  • Der dritte Schritt in dem Verfahren umfasst die Identifikation von Verbindungsabschnitten (ITC) des polygonalen Musters (d.h. die Identifikation der Kanten oder Kantenabschnitte oder Polygonabschnitte an den Schnittpunktbereichen des Layouts). Es wird darauf hingewiesen, dass praktisch jeder Abschnitt eines VC-Merkmals, der ein HC-Merkmal berührt (und umgekehrt), einen Verbindungsabschnitt (ITC) darstellt. Es wird auch darauf hingewiesen, dass der Bereich wie ein ITC-Abschnitt behandelt wird, wenn ein VC-Merkmal oder ein HC-Merkmal ein NC-Merkmal berührt. Sobald die VC-Merkmale, die HC-Merkmale und die ITC-Merkmale identifiziert sind, werden die restlichen Abschnitte des Layouts als nicht kritische (NC-) Abschnitte identifiziert. Es wird darauf hingewiesen, dass in der bevorzugten Ausführungsart der vorliegenden Erfindung sämtliche Verbindungen behandelt werden. Doch es wird auch darauf hingewiesen, dass es bei einer bestimmten Verbindung möglich ist, dass die Behandlung Null ist, was zu keiner Veränderung bei der bestimmten Verbindung führt.
  • Als nächstes muss für jedes Merkmal in den oben definierten HC-, VC- und ITC-Kategorien die lithographische Umgebung oder Proximitätsumgebung (die als Proximitäts-Cluster, PE, bezeichnet wird) definiert werden. Mit anderen Worten, für jedes HC-, VC- und ITC-Merkmal muss das Konstruktionslayout analysiert werden, um festzulegen, wie das Merkmal in Bezug auf benachbarte Elemente positioniert wird. Zu den Elementen, die bei der Bestimmung des Proximitäts-Clusters für ein bestimmtes Merkmal eingeschlossen werden können, gehören beispielsweise das Reihe/Abstand-Verhältnis, die Teilung, die links und rechts angrenzenden Abstände, die nächstgelegene Kante und die zweitnächstgelegene Kante etc. Es wird darauf hingewiesen, dass weitere Konstruktionsaspekte berücksichtigt werden können, wenn das Proximitäts-Cluster für ein bestimmtes Merkmal bestimmt wird.
  • Sobald das Proximitäts-Cluster, PEi (auch Proximitätsumgebung genannt) für jedes Merkmal definiert wurde, besteht der nächste Verfahrensschritt darin, einen Kantenmodifizierungsplan für jedes ITC-Merkmal zu erzeugen. Wie weiter unten genauer erläutert wird, basiert der Kantenmodifizierungsplan auf den anwendungsspezifischen Regeln, welche auf 12 Parametern gründen, die weiter unten definiert werden. Zusammenfassend lässt sich sagen, dass der Kantenmodifizierungsplan für ein bestimmtes ITC-Merkmal (z.B. zwischen einem vertikalen Merkmal und einem horizontalen Merkmal) genau angibt, wie der Abschnitt der V-Maske, der dem vertikalen Merkmal entspricht, das dem gegebenen Verbindungsbereich entspricht, angepasst werden sollte, und wie der Abschnitt der H-Maske, der dem horizontalen Merkmal entspricht, das dem gegebenen Verbindungsbereich entspricht, angepasst werden sollte, so dass beim Drucken sowohl der V-Maske als auch der H-Maske der resultierende Verbindungsbereich eine exakte Reproduktion des ursprünglichen Konstruktionslayouts darstellt.
  • Der nächste Schritt besteht darin, komplementäre Abschirmpläne für die VC-Merkmale und die HC-Merkmale auf der Grundlage der gleichen anwendungsspezifischen Regeln zu erzeugen, die auch für die Erzeugung des Kantenmodifizierungsplans für die ITC-Merkmale verwendet worden sind. Mit anderen Worten, bei der Herstellung der V-Maske zum Drucken der VC-Merkmale werden die anwendungsspezifischen Regeln verwendet, um die Abschirmung zu bestimmen, die für jedes HC-Merkmal erforderlich ist. In ähnlicher Art und Weise werden bei der Herstellung der H-Maske zum Drucken der HC-Merkmale die anwendungsspezifischen Regeln verwendet, um die Abschirmung festzulegen, die für jedes VC-Merkmal erforderlich ist.
  • Der nächste Schritt in dem Verfahren besteht darin, die V-Maske und die H-Maske herzustellen. Die V-Maske wird hergestellt, indem die VC-Merkmale, die vertikalen Abschnitte der ITC-Merkmale, die dem Kantenmodifizierungsplan unterworfen worden sind, und die abgeschirmten HC-Merkmale zusammengesetzt werden. In ähnlicher Art und Weise wird die H-Maske hergestellt, indem die HC-Merkmale, die horizontalen Abschnitte der ITC-Merkmale, die dem Kantenmodifizierungsplan unterworfen worden sind, und die abgeschirmten VC-Merkmale zusammengesetzt werden.
  • Sobald die V-Maske und die H-Maske hergestellt worden sind, wird das Layout durch Belichten der V-Maske und anschließendes Belichten der H-Maske in Übereinstimmung mit Standard-Dipolbelichtungsmethoden auf das Wafer gedruckt.
  • Wie oben erwähnt, umfasst die Basis des Kantenmodifizierungsplans – der die ITC-Bereiche sowohl in der V-Maske als auch in der H-Maske anpasst, so dass das endgültige Muster, das auf das Wafer aufgedruckt wird, das ursprüngliche Layout exakt repräsentiert – in der vorliegenden Ausführungsart die Zuordnung eines Satzes von zwölf (12) Werten, die die primären Parameter (Ppik) genannt werden, und die die Kantenabschnitte an den Schnittpunktbereichen zwischen den horizontalen und den vertikalen Merkmalen kontrollieren. Mit anderen Worten, die primären Parameter geben genau an, wie eine bestimmte Kante eines Merkmals modifiziert werden sollte (z.B. nach links verschoben, nach rechts verschoben, nach oben verschoben oder nach unten verschoben). Wie weiter unten erläutert wird, variieren die Werte der zwölf (12) primären Parameter gemäß den speziellen Proximitätsbedingungen eines bestimmten Merkmals und den Abweichungen in den gegebenen lithographischen Bedingungen und Verfahrensbedingungen. Die zwölf (12) primären Parameter für die geometrische Änderung der Merkmale sind:
  • Modifizierungen der H-Maske:
    • WLH:
      linke Verbreiterung für die H-Maske
      DLH:
      linke Vertiefung für die H-Maske
      WRH:
      rechte Verbreiterung für die H-Maske
      DRH:
      rechte Vertiefung für die H-Maske
      SLH:
      linke Abschirmung für die H-Maske
      SRH:
      rechte Abschirmung für die H-Maske
  • Modifizierungen der V-Maske:
    • WLV:
      linke Verbreiterung für die V-Maske
      DLV:
      linke Vertiefung für die V-Maske
      WRV:
      rechte Verbreiterung für die V-Maske
      DRV:
      rechte Vertiefung für die V-Maske
      SLV:
      linke Abschirmung für die V-Maske
      SRV:
      rechte Abschirmung für V-Maske
  • Wie angegeben, variiert der Wert eines jeden der obigen Parameter gemäß jedes variierenden Proximitäts-Clusters sowie gemäß den Änderungen in den gewählten lithographischen Bedingungen und Verfahrensbedingungen. Es wird weiterhin darauf hingewiesen, dass die vorliegende Erfindung unter Verwendung einer Untergruppe der zwölf (12) oben aufgeführten Parameter durchgeführt werden kann. Mit anderen Worten, es kann sein, dass einige der zwölf (12) Parameter für einen bestimmten Modifizierungsplan einen Wert von Null haben.
  • Während ein detailliertes Verfahren zur Bestimmung der primären Parameterwerte für ein bestimmtes Proximitäts-Cluster und eine allgemeine Gruppe von lithographischen Verfahrensbedingungen nachstehend angegeben ist, gilt als allgemeine Regel:
    PPa = fik(λ, NA, Belichtung, CD, Teilung etc.) [k = 1 ... 12] [iε{Gruppe von Proximitäts-Clustern}]
    wobei fik (im allgemeinen Fall) die selbständigen Funktionen sind und λ die Wellenlänge der Lichtquelle (z.B. 248 nm, 193 nm, 157 nm etc.) ist, NA die numerische Apertur der Projektionslinse ist, die Belichtung von den σin, σout und ∂ der Dipolquelle (oder anderen äquivalenten Belichtungsparametern) abhängt, CD die kritische Abmessung ist, auf die abgezielt wird etc., und die Teilung dem Abstand zwischen den entsprechenden Merkmalen entspricht.
  • In den 10(a) bis 10(c) ist ein "T"-förmiges Layoutmerkmal und die Zerlegung des "T"-förmigen Layoutmerkmals in eine V-Maske und eine H-Maske gemäß dem Verfahren der vorliegenden Erfindung veranschaulicht. Genauer gesagt veranschaulicht 10(a) das beispielhafte "T"-förmige Merkmal 30, für das die V-Maske und die H-Maske hergestellt werden. Das "T"-förmige Merkmal besitzt ein horizontal-kritisches Merkmal 31 und ein vertikal-kritisches Merkmal 32, die einander schneiden, um ein ITC-Merkmal 33 zu erzeugen. Es wird darauf hingewiesen, dass sowohl Merkmal 31 als auch Merkmal 32 als "kritisch" angesehen werden, da die Höhe von Merkmal 31 und die Breite von Merkmal 32 beide größer sind als die doppelte kritische Mindestabmessung (CD). 10(b) veranschaulicht die H-Maske, die durch das Verfahren der vorliegenden Erfindung hergestellt worden ist. Unter Bezugnahme auf 10(b) ist das horizontale Merkmal 31 (d.h. der obere Abschnitt des "T") in der H-Maske kritisch. Als solche wird die Höhe des horizontalen Merkmals in Überein stimmung mit den Werten der primären Parameter WLH und WRH angepasst, die in Abhängigkeit von dem Proximitäts-Cluster, das mit dem Merkmal 31 und dem gegebenen photolithographischen System, das verwendet wird, verbunden ist, bestimmt werden. Es wird darauf hingewiesen, dass der Wert der Parameter WLH Und WRH und aller anderen Parameter unabhängig voneinander und nicht unbedingt gleich ist (d.h. die Anpassung an die linke Seite des Merkmals kann sich von der Anpassung an die rechte Seite des Merkmals unterscheiden). Das vertikale Merkmal 32 in der H-Maske wird durch Abschirmung geschützt, wie sie durch die primären Parameter SLH und SRH definiert wird. Die Werte von SLH und SRH definieren das Ausmaß der Abschirmung des vertikalen Merkmals 32, und wie oben angegeben, werden SLH und SRH als Funktion des Proximitäts-Clusters definiert, das mit dem Merkmal 32 und dem gegebenen photolithographischen System, das verwendet wird, verbunden ist. Schließlich wird an dem Schnittpunktbereich 33, der durch DLH und DRH definiert wird, eine Kerbe erzeugt. Wie in 10(b) gezeigt, stellt die Kerbe eine Reduzierung in dem Bereich dar, der durch die H-Maske in dem Schnittpunktbereich 33 gedruckt werden soll.
  • Unter Bezugnahme auf 10(c) ist hier auch in der V-Maske ähnlich wie in der H-Maske das vertikale Merkmal 32 (d.h. der vertikale Abschnitt des "T") kritisch. Als solche wird die Breite des vertikalen Merkmals in Übereinstimmung mit den Werten der primären Parameter WLV und WRV angepasst, die in Abhängigkeit von dem Proximitäts-Cluster, das mit dem Merkmal 32 und dem gegebenen photolithographischen System, das verwendet wird, verbunden ist, bestimmt werden. Auch hier wird wieder darauf hingewiesen, dass der Wert der Parameter WLV und WRV sowie aller andere Parameter unabhängig voneinander ist. Das horizontale Merkmal 31 in der V-Maske wird durch Abschirmung geschützt, wie sie durch die primären Parameter SLV und SRV definiert wird. Die Werte von SLV und SRV definieren das Ausmaß der Abschirmung des horizontalen Merkmals 31, und wie oben angegeben, werden SLV und SRV als Funktion des Proximitäts-Clusters definiert, das mit dem Merkmal 31 und dem gegebenen photolithographischen System, das verwendet wird, verbunden ist. Schließlich wird an dem Schnittpunktbereich 33, wie er durch DLV und DRV definiert wird, eine weitere Kerbe erzeugt. Wie in 10(c) gezeigt, stellt die Kerbe auch hier eine Re duzierung in dem Bereich dar, der durch die V-Maske in dem Schnittpunktbereich 33 gedruckt werden Soll.
  • Aufgrund der Modifizierung der H-Maske und der V-Maske gemäß den oben genannten primären Parametern, wird das ursprüngliche Layout einschließlich der Schnittpunktbereiche bei Belichtung der H-Maske und der V-Maske in Übereinstimmung mit Standard-Dipolverarbeitung exakt reproduziert.
  • Es gibt viele verschiedene Verfahren zur Bestimmung der Werte der primären Parameter, welche die optimalen Veränderungen (d.h. Anpassungen in den Werten für Verbreiterung, Vertiefung und Abschirmung) der H-Maske und der V-Maske definieren. Ein solcher Ansatz beinhaltet die Bestimmung eines Faktors, der als normalisierter Bereichsfehler (Normalized Area Error – NA) bezeichnet wird.
  • Im allgemeinen wird die Mustergenauigkeit einer vorgegebenen Konstruktion kritisch, wenn in der Herstellung Verfahren mit Teilwellenlängen verwendet werden. Bei NAE handelt es sich im wesentlichen um eine Erweiterung des zweidimensionalen Bereichs gegenüber dem herkömmlichen Ansatz, nur die kritische Abmessung (bei der es sich um eine eindimensionale Metrik handelt) zu messen.
  • NAC kann gegenüber dem vorgegebenen Konstruktionsbereich als der "Bereich außerhalb des Spektrums" definiert werden. Sowohl ein Bereich, der mangelnde Abdeckung aufweist, als auch ein Bereich, der übermäßige Abdeckung aufweist, wird als ein Bereich außerhalb des Spektrums angesehen. 11, die vier verschiedene Merkmale 35, 36, 37 und 38 veranschaulicht, zeigt sehr gut die Bedeutung des "Bereichs außerhalb des Spektrums". Merkmal 35 weist beispielsweise eine übermäßige Abdeckung in beiden Ecken auf, da das resultierende Layout, das auf das Wafer gedruckt wird, über die ursprüngliche Layoutkonstruktion hinausragt. Merkmal 38 veranschaulicht einen Bereich außerhalb des Spektrums aufgrund mangelnder Abdeckung. Sowohl der Bereich mit mangelnder Abdeckung als auch der Bereich mit übermäßiger Abdeckung ist in der Berechnung des NAE enthalten. Wie oben angegeben ist: NAE = (Gesamtbereich außerhalb des Spektrums)/(gesamter Konstruktionsbereich)
  • Es wird darauf hingewiesen, dass jede Gruppe von Verfahrensbedingungen einen einzelnen Wert eines normalisierten Bereichsfehlers besitzt. Die NAE-Berechnung kann auch auf bestimmte Bereiche innerhalb einer vorgegebenen Konstruktion abzielen. Diese Abzielung der NAE-Berechnung auf einen spezifischen Konstruktionsbereich wird dann verwendet, wenn man die primären Parameter berechnet, die verwendet werden, um die V-Maske und die H-Maske in Übereinstimmung mit der vorliegenden Erfindung zu verändern.
  • Genauer gesagt, in Übereinstimmung mit einer Ausführungsart der vorliegenden Erfindung werden nur Bereiche innerhalb 2·α kritischer vertikaler und horizontaler Merkmale, einschließlich Schnittpunktbereiche, abgetastet und ihr NAE berechnet, wo α der Auflösung entspricht, und durch folgende Standardgleichung α = (klλ)/NAdefiniert wird, wobei kl einer verfahrensspezifischen Konstanten entspricht, λ die Wellenlänge der Lichtquelle ist, und NA der numerischen Apertur der Projektionslinsen entspricht.
  • Die in Bezug auf die NAE-Werte berechneten Daten können in einer Art und Weise ähnlich der Art, wie CD-Daten in den sogenannten Bossung Plots graphisch dargestellt werden, auf einer Fokus-Belichtungsmatrix angeordnet werden. Die Informationen, die aus der Fokus-Belichtungsmatrix abgeleitet werden, werden verwendet, um den optimalen Satz an lithographischen Parametern für eine vorgegebene Musterkonstruktion zu identifizieren, und zwar vorbehaltlich einer Zerlegung oder eines fortgeschrittenen Maskenverfahrens (Mehrfachbelichtungen, abwechselnde Phasen verschiebungsmasken, gedämpfte Phasenverschiebungsmasken, Dipolzerlegung, Teilungszerlegung etc.).
  • 12 veranschaulicht einen beispielhaften Bereich, der als die optimale Verfahrensbedingung für die vorgegebene Konstruktion angesehen werden kann, und er veranschaulicht auch, wie das Bild sich verschlechtert, wenn man von der optimalen Dosis und den Fokuswerten abweicht. Unter Bezugnahme auf 12, bei der es sich um ein Muster-Verzeichnungsbild handelt, definiert der dunkelste Bereich 40 die optimale Gruppe von Verfahrensbedingungen, während der äußere Bereich 41 die Verfahrensbedingungen definiert, für die es im wesentlichen keine Abbildung gibt. Die Bereiche 42, 43, 44 zwischen dem Bereich 40 und dem Bereich 41 definieren zunehmend schlechter werdende Verfahrensbedingungen, während der Bereich sich weiter von dem Bereich 40 entfernt.
  • Sobald eine Metrik definiert worden ist (z.B. Messungen des normalisierten Bereichsfehler (NAE) oder der kritischen Abmessung (CD)), muss die beste Kombination der Werte primärer Parameter (d.h. Verbreiterung, Vertiefung und Abschirmung) für eine vorgegebene Zerlegung der Konstruktion bestimmt werden. 13 veranschaulicht, wie sich das Muster-Verzeichnungsbild aus 12 bei einem anderen Satz von Pupillenformen und Zerlegungswerten verändert und dabei die Widerstandsfähigkeit der Zerlegung angibt. Durch einfache Untersuchung oder durch Berechnung des maximalen Überlappungsprozessfensters aus solchen Bildern, wie sie in 13 gezeigt werden, kann man die beste Gruppe von Werten für Verbreiterung, Vertiefung und Abschirmung herleiten.
  • Unter Bezugnahme auf 13 wird festgestellt, dass durch eine "widerstandsfähigere Zerlegung" die dunklen Bereiche während der Veränderungen in den Belichtungsbedingungen (z.B. Pupillenform, Dosis, Fokus etc.) aufrechterhalten werden. Zerlegung 4 in 13 veranschaulicht eine solche widerstandsfähige Zerlegung. Dagegen ist die Zerlegung 1 in 13 nicht optimal für einen weiten Bedingungsbereich, auch wenn sie für eine bestimmte Untergruppe von Bedingungen akzeptabel ist. Demzufolge ist eine widerstandsfähige Zerlegung eine Zerlegung, die nur wenig Abweichung bringt, wenn die Verfahrensbedingungen von dem gewünschten/optimalen Wert abweichen.
  • Um die "widerstandsfähigste Zerlegung" zu bestimmen, wird die Fläche des dunklen Bereichs jeder Zerlegung berechnet, um festzulegen, welche Zerlegung die größte Fläche des dunklen Bereichs enthält. In dem vorgegebenen Ausführungsbeispiel sind die dunklen Bereiche als eine Fläche definiert, die einem NAE im Bereich zwischen 0 und 0,1 entspricht. Es wird darauf hingewiesen, dass der NAE desto kleiner ist, je größer der dunkle Bereich einer vorgegebenen Zerlegung ist. Es wird darauf hingewiesen, dass es ideal wäre, wenn NAE gleich Null ist, doch dies ist aufgrund der Verfahrensbeschränkungen nicht möglich. Außerdem wird NAE für jeden Fokus, für jede Belichtung und jede Pupillenform berechnet. In dem vorgegebenen Beispiel besteht jedes Bild aus ungefähr 200 NAE-Berechnungen.
  • Es wird auch darauf hingewiesen, dass der vorgenannte Ansatz auf Simulationen oder Experimenten basieren kann, und dass die Ergebnisse je nach optischer Proximitätsumgebung und je nach den tatsächlichen Verfahrensbedingungen (Film-Stack, Linsenaberrationen, Ätzverfahren etc.) variieren.
  • Entsprechend der obigen Verfahrensweise ist es möglich, eine Tabelle zu erstellen, wie sie in 14 gezeigt ist, die einen Satz optimaler Proximitätsparameter festlegt, welche für die Anpassung der VC-, HC- und ITC-Merkmale sowohl in der V-Maske als auch in der H-Maske verwendet werden. Diese Ausgangswerte für die Proximitätsparameter gehen davon aus, dass in der Zerlegung keine Unterstützungsmerkmale oder Hilfsmerkmale vorhanden sind.
  • Unter Bezugnahme auf 14 wird darauf hingewiesen, dass die darin angegebenen Ausgangswerte für eine Aufteilung nach Kerben berechnet worden sind. Außerdem hängt der Wert für die Anpassung davon ab, ob sich das Merkmal in einer dichten, halbdichten, halbisolierten oder isolierten Umgebung befindet oder nicht, sowie davon, ob sich die Verbindung zwischen zwei kritischen Merkmalen, einem kritischen und einem nicht kritischen Merkmal oder zwei nicht kritischen Merkmalen (jeweils C-C, C-nC und nC-nC) befindet oder nicht. Außerdem repräsentieren die Werte Ps, Pw und PD die Abschirmung, die Verbreiterung und die Vertiefung des vorgegebenen Merkmals.
  • Es wird darauf hingewiesen, dass für eine produktionsreife Zerlegung meistens weitere OPC- und RET-Techniken verwendet werden müssen, um die letztliche Ergiebigkeit des Verfahrens zu maximieren. Doch wenn man die oben angegebene Verfahrensweise verwendet, kann man Erstmuster-Zerlegungsparameter ableiten, die von den optimalen Werten nicht erheblich abweichen, so dass die Genauigkeit und Robustheit bzw. Widerstandsfähigkeit des Mustertransfers gewährleistet werden.
  • Es wird weiterhin darauf hingewiesen, dass die Erstparameter, die in 14 angegeben sind, keineswegs die optimalen Werte für jedes Verfahren sein sollen, das heute verfügbar ist, sondern vielmehr ein Beispiel für eine zu befolgende Verfahrensmethode sein sollen, um den besten Satz von Anfangsparametern für die spezifizierte Dipolzerlegung zu bestimmen.
  • Die Art der Aufteilung, die bei der Herstellung der V-Maske und der H-Maske in den 10(b) und 10(c) verwendet wird, wird wegen der Kerben, die um die Schnittpunktbereiche gebildet werden, als Aufteilung "nach Kerben" bezeichnet. Doch durch eine entsprechende Anpassung der Parameter Wxy und Dxy kann auch eine andere Aufteilungsart erzeugt werden, wie sie in den 15(b) bis 15(c) beschrieben wird, und die als Aufteilung "nach Gräben" bezeichnet wird. Unter Bezugnahme auf die 15(a) bis 15(c) veranschaulicht 15(a) ein "T"-förmiges Merkmal, das in eine H-Maske und in eine V-Maske zerlegt werden soll. Doch im Gegensatz zu der H-Maske aus 10(b), die ein Kerbe besitzt, welche durch Anpassung von DLH und DRH gebildet wird, werden die Parameter DLH und DLR in der H-Maske, die in 15(b) veranschaulicht wird, so ausgewählt, dass in dem Schnittpunktbereich ein Graben gebildet wird. In ähnlicher Weise wird in der in 15(c) gezeigten V- Maske in dem Schnittpunktbereich durch Anpassung der primären Parameter DLV und DRV ein Graben gebildet.
  • Wie oben angegeben, kann die vorliegende Erfindung zur Anpassung der H-Maske und V-Maske für im wesentlichen jeden beliebigen Schnittpunktbereich unter Verwendung von 12 primären Parametern verwendet werden. Die 16(a) bis 16(c) veranschaulichen beispielsweise eine Aufteilung nach Kerben für ein Doppelverbindungs-Merkmal. Insbesondere 16(a) veranschaulicht das Doppelverbindungs-Merkmal, das in eine V-Maske und in eine H-Maske aufgeteilt werden soll. 16(b) veranschaulicht die resultierende H-Maske mit den Kerben 51, und 16(c) veranschaulicht die resultierende V-Maske mit den Kerben 52. Die 17(a) bis 17(c) veranschaulichen die Aufteilung des gleichen Doppelverbindungs-Merkmals, wie es in 16(a) veranschaulicht ist, mit der Ausnahme, dass hier die Aufteilung nach Gräben verwendet wird. Folglich besitzen die resultierende H-Maske und die resultierende V-Maske Gräben 53, wie in den 17(b) bzw. 17(c) gezeigt.
  • Jede vorgegebene Form in einem allgemeinen Konstruktionslayout kann tatsächlich unter Verwendung der 12 primären Parameter gemäß dem Verfahren der vorliegenden Erfindung aufgeteilt werden. Die 18(a) bis 18(o) veranschaulichen weitere beispielhafte Merkmale und ihre entsprechende H-Maske und V-Maske, welche unter Verwendung der Aufteilung der vorliegenden Erfindung nach "Kerben" erzeugt werden. Insbesondere die 18(a), (d), (g), (j) und (m) veranschaulichen die aufzuteilenden Merkmale, die 18(b), (e), (h), (k) und (n) repräsentieren die entsprechende H-Maske und die 18(c), (f), (i), (l) und (o) repräsentieren die entsprechende V-Maske.
  • Es können auch hybride Aufteilungsarten (z.B. Aufteilung nach Kerben und Gräben) durchgeführt werden, wie in den 19(a) bis 19(i) veranschaulicht wird. Insbesondere die 19(a), (d), (g) veranschaulichen die aufzuteilenden Merkmale, die 19(b), (e), (h) repräsentieren die entsprechende H-Maske und die 19(c), (f), (i) repräsentieren die entsprechende V-Maske.
  • Es wird darauf hingewiesen, dass das Verfahren der vorliegenden Erfindung oben zwar durch die Aufteilung verschiedener isolierter Merkmale veranschaulicht worden ist, doch dass es auch auf Linien und Zwischenräume anwendbar ist, die sich in einer vorgegebenen Art und Weise in einem vorgegebenen Teilungsverhältnis überschneiden. Die 20(a) bis 20(c) und die 21(a) bis 21(c) veranschaulichen beispielsweise eine Aufteilung nach Kerben bzw. eine Aufteilung nach Gräben für ein 7-reihiges Kammmuster.
  • Um die Bemusterungsleistung/Verbesserung der Bemusterung zu beurteilen, die sich aus der vorliegenden Erfindung ergibt, sind auch Simulationen durchgeführt worden. Die 22(a) bis 22(d) veranschaulichen die Hologramm-Simulationen unter Verwendung nicht optimaler Proximitätsparameter. Wie veranschaulicht, sind starke Musterverzeichnungen 66 vorhanden, auch wenn eine Bemusterung mit hoher Auflösung immer noch erreicht werden kann.
  • Im Gegensatz dazu kann durch Optimieren der einzelnen primären Parameter-Gruppen (d.h. Erweiterung, Vertiefung und Abschirmung) für ein "T"-förmiges Merkmal bzw. ein "kreuz"-förmiges Merkmal eine verbesserte Druckfähigkeit und Mustergenauigkeit erzielt werden, wie in den 23(a) und 23(b) gezeigt wird. Es wird auch darauf hingewiesen, dass Korrekturen am Ende der Reihen und zusätzliche OPC-Verfahren (optische Proximitätskorrektur-Verfahren) wie Streubalken verwendet werden können, die eine einwandfrei herstellbare Lösung bereitstellen, wie in 24 veranschaulicht wird. Die Auswahl der primären Parameter kann tatsächlich auch zur Durchführung einer optischen Proximitätskorrektur (OPC) verwendet werden.
  • Es wird darauf hingewiesen, dass das Dipol-Aufteilungsverfahren der vorliegenden Erfindung unter Verwendung des Calibre CAD-Werkzeugs (von Mentor-Graphics) durchgeführt worden ist. Das Verfahren der vorliegenden Erfindung kann offenbar auch auf jedem anderen CAD-Werkzeug mit unterschiedlicher Leistung (vom Gesichtspunkt der Rechengeschwindigkeit und der Datendateigröße her) durchgeführt werden. Die Wahl fiel auf Calibre, da hier eine vollständige Programmierumgebung (die SVRF-Scriptingsprache), eine extrem schnelle hierarchische Datenbankverwaltung für GDSII Konstruktionslayout-Daten, und Lithographie-Simulation, OPC- und ORC (optische Regelprüfung)-Funktionen, integriert mit Standard-Konstruktionsüberprüfungsfunktionen, verfügbar waren. Die Calibre-Umgebung ist derzeit für die Entwicklung eines Dipol-Softwaresystems für den Einsatz in der Produktion als Teil einer umfassenden Dipol-Abbildungslösung angemessen.
  • 25 beschreibt in schematischer Form ein lithographisches Projektionsgerät, das für den Einsatz mit den Masken geeignet ist, die mit Hilfe der gegenwärtigen Erfindung konstruiert worden sind. Das Gerät umfasst:
    • – ein Strahlungssystem Ex, IL für die Lieferung eines Projektionsstrahls PB. In diesem speziellen Fall besitzt das Strahlungssystem auch eine Strahlungsquelle LA;
    • – einen ersten Objekttisch (Maskentisch) MT mit einem Maskenhalter zum Halten einer Maske MA (z.B. einer Zwischenmaske), verbunden mit ersten Positionierelementen zum korrekten Positionieren der Maske in Bezug auf das Element PL;
    • – einen zweiten Objekttisch (Substrattisch) WT mit einem Substrathalter zum Halten eines Substrates W (z.B. ein Silikon-Wafer, das mit einer Schutzschicht bzw. einem Lack überzogen ist), verbunden mit zweiten Positionierelementen zum korrekten Positionieren des Substrates in Bezug auf das Element PL;
    • – ein Projektionssystem ("Linse") PL (z.B. ein optisches lichtbrechendes, spiegelndes/lichtreflektierendes oder Katadioptrik-System) zum Abbilden eines bestrahlten Abschnittes der Maske MA auf einen Zielabschnitt C (z.B. mit einem oder mehreren Plättchen) des Substrates W.
  • Wie hierin dargestellt, ist das Gerät transparent (d.h. es hat eine transparente Maske). Doch im allgemeinen kann es auch beispielsweise reflektierend sein (mit einer reflektierenden Maske). Alternativ kann das Gerät alternativ zur Verwendung einer Maske auch eine andere Art von Bemusterungseinrichtung verwenden; Beispiele dafür sind eine programmierbare Spiegelanordnung oder eine LCD-Matrix.
  • Die Strahlungsquelle LA (z.B. eine Quecksilberlampe, ein Excimer-Laser oder eine Plasmaentladequelle) erzeugt einen Projektionsstrahl. Dieser Strahl wird entweder direkt oder nach Durchquerung einer Aufbereitungseinrichtung, wie beispielsweise ein Strahl-Expander Ex, in ein Beleuchtungssystem (Illuminator) IL eingeführt. Der Illuminator IL kann Verstelleinrichtungen AM zur Einstellung der äußeren und/oder inneren radialen Reichweite (im allgemeinen als σ-outer bzw. σ-inner bezeichnet) der Intensitätsverteilung in dem Strahl besitzen. Zusätzlich besitzt er im allgemeinen verschiedene andere Komponenten wie einen Integrator IN und einen Kondensator CO. Auf diese Art und Weise besitzt der Strahl PB, der auf die Maske MA auftrifft, eine gewünschte Gleichmäßigkeit und Intensitätsverteilung in seinem Querschnitt.
  • Unter Bezugnahme auf 25 sollte darauf hingewiesen werden, dass sich die Strahlungsquelle LA innerhalb des Gehäuses des lithographischen Projektionsgerätes befinden kann (wie das oft der Fall ist, wenn es sich bei der Strahlungsquelle LA beispielsweise um eine Quecksilberlampe handelt), doch dass sie sich ebenso auch in einer Entfernung von dem lithographischen Projektionsgerät befinden kann, wobei der Strahl, der erzeugt wird, in das Gerät hineingeführt wird (z.B. mit Hilfe geeigneter Richtspiegel); diese letztere Anordnung wird oft dann gewählt, wenn es sich bei der Strahlungsquelle LA um einen Excimer-Laser handelt (z.B. basierend auf KrF-, ArF- oder F2-Lasing). Die gegenwärtige Erfindung umfasst diese beiden Anordnungen.
  • Der Strahl PB fängt anschließend die Maske MA ab, die auf einem Maskentisch MT gehalten wird. Nachdem er die Maske MA durchquert hat, verläuft der Strahl PB durch die Linse PL, die den Strahl PB auf einen Zielabschnitt C des Substrates W fokussiert. Mit Hilfe der zweiten Positioniereinrichtung (und der interferometrischen Messeinrichtung IF) kann der Substrattisch WT exakt bewegt werden, z.B. um die verschiedenen Zielabschnitte C in dem Pfad des Strahls PB zu positionieren. In ähnlicher Art und Weise kann die erste Positioniereinrichtung dazu verwendet werden, um die Maske MA in Bezug auf den Pfad des Strahls PB exakt zu positionieren, z.B. nach dem mechanischen Abruf der Maske MA aus einer Maskenbibliothek oder während einer Abtastung. Im allgemeinen erfolgt die Bewegung der Objekttische MT, WT mit Hilfe eines langhubigen Moduls (grobe Positionierung) und eines kurzhubigen Moduls (Feinpositionierung), die in 25 nicht ausdrücklich dargestellt sind. Doch im Falle eines Wafer Steppers (gegenüber einem Step-and-Scan-Werkzeug) kann der Maskentisch MT einfach mit einem kurzhubigen Stellorgan verbunden werden, oder er kann befestigt werden.
  • Das dargestellte Werkzeug kann auf zwei verschiedene Arten verwendet werden:
    • – Im Step-Modus wird der Maskentisch im wesentlichen stationär gehalten und ein ganzes Maskenbild wird in einem Durchgang (d.h. einem einzigen "Flash") auf einen Zielabschnitt C projiziert. Der Substrattisch WT wird dann in die X- und/oder Y-Richtung verschoben, so dass ein anderer Zielabschnitt C von dem Strahl PB bestrahlt werden kann;
    • – Im Scan-Modus gilt im wesentlichen das gleiche Szenario, außer dass ein vorgegebener Zielabschnitt C nicht in einem einzigen "Flash" belichtet wird. Stattdessen kann der Maskentisch MT mit einer Geschwindigkeit v in eine vorgegebene Richtung (die sogenannte "Scan-Richtung", z.B. die y-Richtung) bewegt werden, so dass der Projektionsstrahl PB ein Maskenbild abtastet; gleichzeitig wird der Substrattisch WT mit einer Geschwindigkeit V = Mv in die gleiche oder in die entgegengesetzte Richtung bewegt, wobei M die Vergröße rung der Linse PL (meistens M = ¼ oder 1/5) ist. Auf diese Art und Weise kann ein relativ großer Zielabschnitt C belichtet werden, ohne dass die Auflösung beeinträchtigt wird.
  • Während spezifische Einzelheiten verschiedener Ausführungsarten der vorliegenden Erfindung zur Optimierung von V-Masken und H-Masken zur Benutzung mit Dipolbelichtungsverfahren präsentiert worden sind, ist auch klar, dass andere Variationen möglich sind. So sind beispielsweise viele verschiedene Verfahren möglich, um die optimalen Werte für die primären Parameter zu erhalten. Demzufolge ist nicht beabsichtigt, dass der Geltungsbereich der vorliegenden Erfindung auf die vorgenannten Beispiele beschränkt sein soll.
  • Wie oben beschrieben, liefert das Verfahren zur Herstellung komplementärer Masken zur Benutzung mit Dipolbelichtung gemäß der vorliegenden Erfindung bedeutende Vorteile gegenüber dem Stand der Technik. Das allerwichtigste dabei ist, dass die vorliegende Erfindung ein einfaches Verfahren zur Herstellung komplementärer Masken-Layouts zur Benutzung mit Dipolbelichtung liefert, das "Schnittpunkt"-Bereiche zwischen orthogonalen Merkmalen automatisch ausgleicht, so dass eine exakte Reproduktion des gewünschten Musters auf dem Wafer ermöglicht wird. Außerdem stellt die vorliegende Erfindung dem Masken-Designer eine zusätzliche Einrichtung zur Durchführung des optischen Proximitätskorrektur-Verfahrens (OPC) bereit.
  • Auch wenn spezifische Ausführungsarten der vorliegenden Erfindung offenbart worden sind, wird darauf hingewiesen, dass die vorliegende Erfindung andere Ausführungsformen haben kann, ohne dass sie sich dadurch von den wesentlichen Merkmalen der Erfindung entfernt. Die vorliegenden Ausführungsbeispiele sind deshalb in jeder Hinsicht als veranschaulichend und nicht als einschränkend anzusehen, wobei der Geltungsbereich der Erfindung durch die beigefügten Ansprüche angegeben wird.

Claims (13)

  1. Verfahren zum Herstellen eines komplementären Maskenmusters zur Verwendung in einem lithographischen Abbildungsprozess mit Mehrfachbelichtung, wobei das Verfahren die folgenden Schritte umfasst: Identifizieren von horizontalen kritischen Merkmalen (22) aus einer Vielzahl von Merkmalen, die ein Layout bilden, Identifizieren von vertikalen kritischen Merkmalen (23) aus der Mehrzahl von Merkmalen, wobei die vertikalen kritischen Merkmale sich in einer Richtung erstrecken, die orthogonal ist zu den genannten horizontalen kritischen Merkmalen, Identifizieren von verbindenden Flächen (24), die Flächen aufweisen, in denen eine der genannten horizontalen kritischen Merkmale mit einem anderen Merkmal des Layout in Kontakt steht und/oder Flächen, in denen eines der genannten vertikalen Merkmale mit einem anderen Merkmal des Layout in Kontakt steht, Definieren eines Satzes von primären Parametern auf Basis der Nähe der genannten Vielzahl von Merkmalen zueinander, Erzeugen eines Kantenmodifizierungsplans für jede Verbindungsfläche auf Basis der genannte primären Parameter, Erzeugen eines ersten Abschirmplans für die genannten horizontalen kritischen Merkmale auf Basis der primären Parameter, Erzeugen eines zweiten Abschirmplans für die genannten vertikalen kritischen Merkmale auf Basis der genannten primären Parameter, Erzeugen eines ersten Maskenmusters durch Kompilation der genannten vertikalen kritischen Merkmale, wobei der genannte erste Abschirmplan für die genannten horizontalen kritischen Merkmale und die genannten Verbindungsflächen, welche ein vertikales kritisches Merkmal enthalten, durch den genannten Kantenmodifikationsplan modifiziert sind.
  2. Verfahren nach Anspruch 1, wobei der genannte Kantenmodifikationsplan Formungsvertiefungen (52) in den genannten horizontalen kritischen Merkmalen in den genannten Verbindungsflächen aufweist, um so die Fläche der horizontalen kritischen Merkmale zu reduzieren.
  3. Verfahren nach Anspruch 1, wobei der genannte Kantenmodifikationsplan Formungsvertiefungen (51) in den genannten vertikalen kritischen Merkmalen in der genannten Verbindungsfläche aufweist, um so die Fläche des vertikalen kritischen Merkmals zu reduzieren.
  4. Verfahren nach Anspruch 1, wobei der genannte Kantenmodifikationsplan formende Ausnehmungen (53) in dem genannten horizontalen kritischen Merkmal in der genannten Verbindungsfläche aufweist, um so die Fläche des horizontalen kritischen Merkmals zu reduzieren.
  5. Verfahren nach Anspruch 1, wobei der genannte Kantenmodifikationsplan formende Ausnehmungen (53) in dem genannten vertikalen kritischen Merkmal in der genannten Verbindungsfläche aufweist, um so die Fläche des vertikalen kritischen Merkmals zu reduzieren.
  6. Verfahren nach einem der Ansprüche 1, 2, 3, 4 oder 5, wobei die genannten primären Parameter in einem gegebenen Layout variabel sind und sich entsprechend Änderungen in der Dichte der Merkmale relativ zueinander in dem gegebenen Layout ändern.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei ein horizontales kritisches Merkmal einen im wesentlichen rechtwinkligen Abschnitt eines polygonal geformten Merkmals mit einer Höhe aufweist, die angenähert das zweifache oder mehr der kritischen Abmessung ausmacht.
  8. Verfahren nach einem der vorhergehenden Ansprüche, wobei ein vertikales kritisches Merkmal einen im wesentlichen rechtwinkligen Abschnitt eines polygonal geformten Merkmales umfasst mit einer Breite, die angenähert das zweifache oder mehr der kritischen Dimension ausmacht.
  9. Verfahren zum Herstellen von Komplementärmasken, folgende Schritte umfassend: Erzeugen eines komplementären Maskenmusters entsprechend dem Verfahren nach einem der Ansprüche 1 bis 8; und Formen von komplementären Masken, die die Maskenmuster beinhalten.
  10. Vorrichtung zum Erzeugen von komplementären Maskenmustern zur Verwendung in einem lithographischen Abbildungsprozess mit Mehrfachbelichtung, wobei die Vorrichtung folgendes aufweist: eine Einrichtung zum Identifizieren horizontaler kritischer Merkmale (22) aus einer Mehrzahl von Merkmalen, die ein Layout bilden, eine Einrichtung zum Identifizieren vertikaler kritischer Merkmale (23) aus einer Mehrzahl von Merkmalen, wobei die genannten vertikalen kritischen Merkmale sich in einer Richtung orthogonal zu den genannten horizontalen kritischen Merkmalen erstrecken, eine Einrichtung zum Identifizieren von Verbindungsflächen (25), die Flächen aufweisen, in denen eines der genannten horizontalen kritischen Merkmale in Kontakt steht mit einem anderen Merkmal des genannten Layouts, und/oder Flächen, in denen eines der genannten vertikalen kritischen Merkmale in Kontakt steht mit einem anderen Merkmal des genannten Layouts, eine Einrichtung zum Definieren eines Satzes von primären Parametern auf Basis der Nähe der genannten Mehrzahl von Merkmalen relativ zueinander, eine Einrichtung zum Erzeugen eines Kantenmodifikationsplans für jede Verbindungsfläche auf Basis der genannten primären Parameter, eine Einrichtung zum Erzeugen eines ersten Abschirmplans für die genannten horizontalen kritischen Merkmale auf Basis der genannten primären Parameter, eine Einrichtung zum Erzeugen eines zweiten Abschirmplans für die genannten vertikalen kritischen Merkmale auf Basis der genannte primären Parameter, eine Einrichtung zum Erzeugen eines ersten Maskenmusters durch Kompilation der genannten horizontalen kritischen Merkmale, wobei der genannte zweite Abschirmplan für die genannten vertikalen kritischen Merkmale und die genannten Verbindungsflächen, welche ein horizontales kritisches Merkmal beinhalten, durch den genannten Kantenmodifikationsplan modifiziert sind, und eine Einrichtung zum Erzeugen eines zweiten Maskenmusters durch Kompilation der genannten vertikalen kritischen Merkmale, wobei der genannte erste Abschirmplan für die genannten horizontalen kritischen Merkmale und die genannten Verbindungsflächen ein vertikales kritisches Merkmal beinhalten, welches durch den genannten Kantenmodifikationsplan modifiziert ist.
  11. Computerprogramm mit Codierungsmitteln welches, bei Ausführung auf einem Rechnersystem, den Rechner instruiert, ein Verfahren gemäß einem der Ansprüche 1 bis 8 auszuführen.
  12. Verfahren zum Herstellen eines integrierten Schaltkreises mit folgenden Schritten: (a) Bereitstellen eines Substrates, das zumindest teilweise von einer Schicht aus strahlungsempfindlichem Material abgedeckt ist; (b) Bereitstellen eines Projektionsstrahls unter Verwendung eines Strahlungssystems; (c) Verwenden einer Mustereinrichtung, um den Projektionsstrahl in seinem Querschnitt mit einem Muster zu versehen; (d) Projizieren des gemusterten Strahls auf einen Zielabschnitt der Schicht strahlungsempfindlichen Materials, wobei die Schritte (c) und (d) ein erstes Mal mit einem ersten Muster und sodann ein zweites Mal mit einem zweiten Muster ausgeführt werden, und die ersten und zweiten Muster unter Verwendung eines Verfahrens gemäß einem der Ansprüche 1 bis 8 hergestellt sind.
  13. Verfahren gemäß Anspruch 12, wobei die Schritte (c) und (d) jeweils eine zweipolige Beleuchtungskonfiguration verwenden. 2011
DE60208639T 2001-11-05 2002-11-04 Verfahren und Vorrichtung zur Herstellung von Masken zur Benutzung mit Dipolbelichtung Expired - Lifetime DE60208639T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/985,621 US6553562B2 (en) 2001-05-04 2001-11-05 Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques
US985621 2001-11-05

Publications (2)

Publication Number Publication Date
DE60208639D1 DE60208639D1 (de) 2006-04-06
DE60208639T2 true DE60208639T2 (de) 2006-07-13

Family

ID=25531646

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60208639T Expired - Lifetime DE60208639T2 (de) 2001-11-05 2002-11-04 Verfahren und Vorrichtung zur Herstellung von Masken zur Benutzung mit Dipolbelichtung

Country Status (5)

Country Link
US (1) US6553562B2 (de)
EP (1) EP1308780B1 (de)
JP (1) JP3645242B2 (de)
KR (1) KR100585478B1 (de)
DE (1) DE60208639T2 (de)

Families Citing this family (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003060039A (ja) * 2001-08-16 2003-02-28 Mitsubishi Electric Corp レイアウト検証方法およびそのプログラムおよびレイアウト検証装置
US6875545B2 (en) 2001-11-28 2005-04-05 Asml Masktools B.V. Method of removing assist features utilized to improve process latitude
US6668367B2 (en) * 2002-01-24 2003-12-23 Nicolas B. Cobb Selective promotion for resolution enhancement techniques
US6851103B2 (en) 2002-03-25 2005-02-01 Asml Masktools, B.V. Method and apparatus for decomposing semiconductor device patterns into phase and chrome regions for chromeless phase lithography
US6915505B2 (en) * 2002-03-25 2005-07-05 Asml Masktools B.V. Method and apparatus for performing rule-based gate shrink utilizing dipole illumination
US7035446B2 (en) 2002-05-22 2006-04-25 Lsi Logic Corporation Quality measurement of an aerial image
WO2003102696A2 (en) 2002-05-29 2003-12-11 Massachusetts Institute Of Technology A method for photolithography using multiple illuminations and a single fine feature mask
US7043711B2 (en) * 2002-06-26 2006-05-09 Polar Semiconductor, Inc. System and method for defining semiconductor device layout parameters
TWI272448B (en) * 2002-07-26 2007-02-01 Asml Masktools Bv Orientation dependent shielding for use with dipole illumination techniques
US6775818B2 (en) * 2002-08-20 2004-08-10 Lsi Logic Corporation Device parameter and gate performance simulation based on wafer image prediction
SG137657A1 (en) * 2002-11-12 2007-12-28 Asml Masktools Bv Method and apparatus for performing model-based layout conversion for use with dipole illumination
JP4296943B2 (ja) * 2003-01-28 2009-07-15 ソニー株式会社 露光用マスクの製造方法および露光方法ならびに3次元形状の製造方法
DE602004022141D1 (de) * 2003-02-27 2009-09-03 Univ Hong Kong Mehrfachbelichtungsverfahren zur schaltungsleistungsverbesserung und maskenset
JP2004354605A (ja) * 2003-05-28 2004-12-16 Matsushita Electric Ind Co Ltd 半導体設計レイアウトパタン生成方法および図形パタン生成装置
JP2005003996A (ja) * 2003-06-12 2005-01-06 Toshiba Corp フォトマスクとフォトマスクの製造方法及びマスクデータ生成方法
JP4520787B2 (ja) * 2003-06-30 2010-08-11 エーエスエムエル マスクツールズ ビー.ブイ. 半波長以下リソグラフィ模様付けの改良型散乱バーopc適用方法
US7355673B2 (en) * 2003-06-30 2008-04-08 Asml Masktools B.V. Method, program product and apparatus of simultaneous optimization for NA-Sigma exposure settings and scattering bars OPC using a device layout
JP2005258387A (ja) 2003-07-29 2005-09-22 Sony Corp 露光用マスクおよびマスクパターンの製造方法
JP2005114922A (ja) * 2003-10-06 2005-04-28 Canon Inc 照明光学系及びそれを用いた露光装置
US7378849B2 (en) * 2003-10-07 2008-05-27 Sra International, Inc. Method and apparatus for obtaining spatial information and measuring the dielectric constant of an object
US20050074698A1 (en) * 2003-10-07 2005-04-07 Intel Corporation Composite optical lithography method for patterning lines of significantly different widths
US6968532B2 (en) * 2003-10-08 2005-11-22 Intel Corporation Multiple exposure technique to pattern tight contact geometries
US7142282B2 (en) * 2003-10-17 2006-11-28 Intel Corporation Device including contacts
US20050085085A1 (en) * 2003-10-17 2005-04-21 Yan Borodovsky Composite patterning with trenches
US20050088633A1 (en) * 2003-10-24 2005-04-28 Intel Corporation Composite optical lithography method for patterning lines of unequal width
SG111289A1 (en) * 2003-11-05 2005-05-30 Asml Masktools Bv A method for performing transmission tuning of a mask pattern to improve process latitude
KR100599510B1 (ko) * 2003-12-31 2006-07-13 동부일렉트로닉스 주식회사 미세 홀 포토마스크 제조방법
JP2005202102A (ja) * 2004-01-15 2005-07-28 Fujitsu Ltd 露光用マスク及びそのパターン補正方法並びに半導体装置の製造方法
US7384725B2 (en) * 2004-04-02 2008-06-10 Advanced Micro Devices, Inc. System and method for fabricating contact holes
US7165233B2 (en) * 2004-04-12 2007-01-16 Nanya Technology Corp. Test ket layout for precisely monitoring 3-foil lens aberration effects
US6960775B1 (en) * 2004-04-13 2005-11-01 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and device manufactured thereby
US7448012B1 (en) * 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US7799517B1 (en) 2004-08-31 2010-09-21 Globalfoundries Inc. Single/double dipole mask for contact holes
KR100598980B1 (ko) * 2004-09-17 2006-07-12 주식회사 하이닉스반도체 다이폴 노광 장치에서의 수직 패턴의 레이아웃
US7517642B2 (en) * 2004-12-30 2009-04-14 Intel Corporation Plane waves to control critical dimension
DE102005003183B4 (de) * 2005-01-19 2011-06-16 Qimonda Ag Verfahren zur Herstellung von Halbleiterstrukturen auf einem Wafer
DE102005003185B4 (de) * 2005-01-19 2006-11-02 Infineon Technologies Ag Abbildungssystem und Verfahren zur Herstellung von Halbleiterstrukturen auf einem Wafer durch Abbildung einer Maske auf dem Wafer mit einer Dipolblende
JP4617272B2 (ja) * 2005-04-12 2011-01-19 エーエスエムエル マスクツールズ ビー.ブイ. 二重露光リソグラフィを実行するための方法、プログラム製品及びデバイス製造方法
US7310797B2 (en) * 2005-05-13 2007-12-18 Cadence Design Systems, Inc. Method and system for printing lithographic images with multiple exposures
JP4425239B2 (ja) * 2005-05-16 2010-03-03 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置およびデバイス製造方法
US20060256311A1 (en) * 2005-05-16 2006-11-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7528934B2 (en) * 2005-05-16 2009-05-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7395516B2 (en) * 2005-05-20 2008-07-01 Cadence Design Systems, Inc. Manufacturing aware design and design aware manufacturing
US7712064B2 (en) * 2005-05-20 2010-05-04 Cadence Design Systems, Inc. Manufacturing aware design of integrated circuit layouts
US8132130B2 (en) * 2005-06-22 2012-03-06 Asml Masktools B.V. Method, program product and apparatus for performing mask feature pitch decomposition for use in a multiple exposure process
US7313777B1 (en) * 2005-08-01 2007-12-25 Advanced Micro Devices, Inc. Layout verification based on probability of printing fault
US7816262B2 (en) 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7749662B2 (en) 2005-10-07 2010-07-06 Globalfoundries Inc. Process margin using discrete assist features
US7560199B2 (en) * 2005-10-20 2009-07-14 Chartered Semiconductor Manufacturing Ltd. Polarizing photolithography system
US7493589B2 (en) * 2005-12-29 2009-02-17 Asml Masktools B.V. Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US7908578B2 (en) 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
EP2267530A1 (de) * 2006-04-06 2010-12-29 ASML MaskTools B.V. Verfahren und Vorrichtung zur Durchführung von Dunkelfeld-Doppeldipollithografie
JP2007317921A (ja) * 2006-05-26 2007-12-06 Toshiba Corp リソグラフィ・シミュレーション方法及びプログラム
US7966585B2 (en) 2006-12-13 2011-06-21 Mentor Graphics Corporation Selective shielding for multiple exposure masks
US7794921B2 (en) * 2006-12-30 2010-09-14 Sandisk Corporation Imaging post structures using x and y dipole optics and a single mask
US7802226B2 (en) * 2007-01-08 2010-09-21 Mentor Graphics Corporation Data preparation for multiple mask printing
WO2008086827A1 (en) 2007-01-16 2008-07-24 Carl Zeiss Smt Ag Projection exposure method and projection exposure system therefor
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US7926000B2 (en) * 2007-03-08 2011-04-12 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing dipole multiple exposure
US8028253B2 (en) * 2007-04-02 2011-09-27 Synopsys, Inc. Method and apparatus for determining mask layouts for a multiple patterning process
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8713483B2 (en) 2007-06-05 2014-04-29 Mentor Graphics Corporation IC layout parsing for multiple masks
US7684040B2 (en) * 2007-06-07 2010-03-23 Macronix International Co., Ltd. Overlay mark and application thereof
US20090135390A1 (en) * 2007-11-26 2009-05-28 Advanced Micro Devices, Inc. Lithographic alignment marks
US7861196B2 (en) * 2008-01-31 2010-12-28 Cadence Design Systems, Inc. System and method for multi-exposure pattern decomposition
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
CN101587293B (zh) * 2008-05-23 2014-07-09 立锜科技股份有限公司 用于降低金属栓塞碟化的光罩、孔布局及方法
JP5599395B2 (ja) 2008-07-16 2014-10-01 テラ イノヴェイションズ インコーポレイテッド 動的アレイアーキテクチャにおけるセル位相整合及び配置の方法及びその実施
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US8069423B2 (en) 2008-08-11 2011-11-29 Cadence Design Systems, Inc. System and method for model based multi-patterning optimization
US8209656B1 (en) 2008-10-14 2012-06-26 Cadence Design Systems, Inc. Pattern decomposition method
US20100187611A1 (en) * 2009-01-27 2010-07-29 Roberto Schiwon Contacts in Semiconductor Devices
US7674703B1 (en) 2009-01-27 2010-03-09 Infineon Technologies Ag Gridded contacts in semiconductor devices
JP5665398B2 (ja) * 2009-08-10 2015-02-04 キヤノン株式会社 生成方法、作成方法、露光方法、デバイスの製造方法及びプログラム
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US20120082943A1 (en) * 2010-09-30 2012-04-05 Georgia Tech Research Corporation Diffractive photo masks and methods of using and fabricating the same
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8473874B1 (en) 2011-08-22 2013-06-25 Cadence Design Systems, Inc. Method and apparatus for automatically fixing double patterning loop violations
US8516402B1 (en) 2011-08-22 2013-08-20 Cadence Design Systems, Inc. Method and apparatus for automatically fixing double patterning loop violations
US8793626B2 (en) * 2012-03-23 2014-07-29 Texas Instruments Incorporated Computational lithography with feature upsizing

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3255168B2 (ja) * 1991-02-28 2002-02-12 株式会社ニコン 露光方法及びその露光方法を用いたデバイス製造方法、及び露光装置
EP0564438A1 (de) * 1992-03-30 1993-10-06 IMS Ionen Mikrofabrikations Systeme Gesellschaft m.b.H. Teilchen-, insbes. ionenoptisches Abbildungssystem
US5472814A (en) * 1994-11-17 1995-12-05 International Business Machines Corporation Orthogonally separated phase shifted and unphase shifted mask patterns for image improvement
KR0164076B1 (ko) * 1995-09-29 1999-02-01 김주용 반도체 소자의 미세패턴 형성방법
US5858580A (en) * 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US5807649A (en) * 1996-10-31 1998-09-15 International Business Machines Corporation Lithographic patterning method and mask set therefor with light field trim mask
US5973771A (en) * 1997-03-26 1999-10-26 International Business Machines Corporation Pupil imaging reticle for photo steppers
US6518180B1 (en) * 1998-10-23 2003-02-11 Hitachi, Ltd. Method for fabricating semiconductor device and method for forming mask suitable therefor
US6467076B1 (en) * 1999-04-30 2002-10-15 Nicolas Bailey Cobb Method and apparatus for submicron IC design
DE19937742B4 (de) * 1999-08-10 2008-04-10 Infineon Technologies Ag Übertragung eines Musters hoher Strukturdichte durch multiple Belichtung weniger dichter Teilmuster
US6338922B1 (en) * 2000-05-08 2002-01-15 International Business Machines Corporation Optimized alternating phase shifted mask design
US6503666B1 (en) * 2000-07-05 2003-01-07 Numerical Technologies, Inc. Phase shift masking for complex patterns
US6661015B2 (en) * 2000-09-15 2003-12-09 Ims-Ionen Mikrofabrikations Systeme Gmbh Pattern lock system
EP1255162A1 (de) * 2001-05-04 2002-11-06 ASML Netherlands B.V. Lithographischer Apparat

Also Published As

Publication number Publication date
EP1308780A3 (de) 2004-01-14
EP1308780B1 (de) 2006-01-11
US20020166107A1 (en) 2002-11-07
US6553562B2 (en) 2003-04-22
DE60208639D1 (de) 2006-04-06
KR100585478B1 (ko) 2006-06-02
JP2003162042A (ja) 2003-06-06
EP1308780A2 (de) 2003-05-07
KR20030038419A (ko) 2003-05-16
JP3645242B2 (ja) 2005-05-11

Similar Documents

Publication Publication Date Title
DE60208639T2 (de) Verfahren und Vorrichtung zur Herstellung von Masken zur Benutzung mit Dipolbelichtung
DE60305584T2 (de) Richtungsabhängige Abschirmung zur Benutzung mit Dipolbelichtung
DE60202230T2 (de) Naheffektkorrektur mittels nicht aufgelöster Hilfsstrukturen in Form von Leiterstäben
DE60112355T2 (de) Verfahren zum Entwurf und Verfahren zur Verwendung einer Phasenverschiebungsmaske
DE60214506T2 (de) Methode zur Kalibrierung und Optimierung einer 2-dimensionalen Modellierung von Mustern
DE69233134T2 (de) Reproduktionsverfahren mit hoher Auflösung unter Verwendung eines dem Verfahren angepassten Maskenmusters
DE112006002656B4 (de) Größerer Prozesstoleranzbereich unter Verwendung diskreter Hilfsstrukturelemente
DE60212777T2 (de) OPC-Verfahren mit nicht auflösenden Phasensprung-Hilfsstrukturen
DE602004002598T2 (de) Methode und Gerät zur Erstellung von optischen Näherungseffekt-Korrekturelementen für ein Maskenmuster in der optischen Lithographie
DE10346561B4 (de) Verfahren zur Herstellung einer Photomaske mit einer Transparenzeinstellschicht
DE60306438T2 (de) Verfahren und Vorrichtung zur Zerlegung von Halbleiter-Bauelementmustern in Phasen- und Chromregionen für chromfreie Phasenlithographie
DE602004011860T2 (de) Methode und Vorrichtung für modellgestützte Plazierung phasenbalancierter Hilfsstrukturen für optische Lithographie mit Auflösungsgrenzen unterhalb der Belichtungswellenlänge
JP4495663B2 (ja) サブ分解能アシストフューチャとしてグレーバーを使用する光近接補正方法
DE102006017938A1 (de) Fokusüberwachungsverfahren, Photomaske und photolithographisches System
DE102015114918A1 (de) Umweltbewusste OPC
WO2002095498A2 (en) Lithographic method of manufacturing a device
DE102006018074A1 (de) Photomaske
DE102013001962A1 (de) Lithographieprozess
DE102006004230B4 (de) Verfahren zur Herstellung einer Maske für die lithografische Projektion eines Musters auf ein Substrat
DE10225423A1 (de) Fotomaske zur Fokusüberwachung, Verfahren zur Fokusüberwachung, Einheit zur Fokusüberwachung und Herstellungsverfahren für eine derartige Einheit
DE10310136B4 (de) Maskensatz zur Projektion von jeweils auf den Masken des Satzes angeordneten und aufeinander abgestimmten Strukturmustern auf einen Halbleiterwafer
DE60305377T2 (de) Methode und Vorrichtung für die Definition von Mustern einer Fotomaske mittels einer Booleschen Kombination der Designdaten mit skalierten Designdaten
US7816060B2 (en) Manufacturing method of semiconductor device, reticle correcting method, and reticle pattern data correcting method
DE10310137B4 (de) Satz von wenigstens zwei Masken zur Projektion von jeweils auf den Masken gebildeten und aufeinander abgestimmten Strukturmustern und Verfahren zur Herstellung der Masken
DE112005003585T5 (de) Verfahren und System für die Fotolithografie

Legal Events

Date Code Title Description
8364 No opposition during term of opposition