DE2848691A1 - Verfahren und gas zur behandlung von halbleiter-bauelementen - Google Patents

Verfahren und gas zur behandlung von halbleiter-bauelementen

Info

Publication number
DE2848691A1
DE2848691A1 DE19782848691 DE2848691A DE2848691A1 DE 2848691 A1 DE2848691 A1 DE 2848691A1 DE 19782848691 DE19782848691 DE 19782848691 DE 2848691 A DE2848691 A DE 2848691A DE 2848691 A1 DE2848691 A1 DE 2848691A1
Authority
DE
Germany
Prior art keywords
plasma
oxygen
gas
sif
mixture
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE19782848691
Other languages
English (en)
Inventor
Richard Lewis Bersin
Frank Scornavacca
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Dionex Corp
Will Ross Inc
Original Assignee
Dionex Corp
Will Ross Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dionex Corp, Will Ross Inc filed Critical Dionex Corp
Publication of DE2848691A1 publication Critical patent/DE2848691A1/de
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

BESCHREIBUNG
Die Erfindung bezieht sich auf Gasplasmaverfahren und -materialien, insbesondere auf ein Verfahren und ein Gas zur Entfernung von Materialien bei der Herstellung von Halbleiter-Bauelementen in einem Plasma.
Bei der Behandlung von Halbleiter-Bauelementen in einem Gasplasma werden die zu behandelnden Plättchen oder Bauelemente gemeinsam in einer Reaktionskammer angeordnet, in der durch Bestrahlung eines Hilfsgases mit radiofrequenter Energie ein Gasplasma gebildet wird, dem die Plättchen oder Bauelemente für die gewünschte Behandlung ausgesetzt werden, beispielsweise zum Ätzen einer Metallisierungsschicht, Ätzen einer Passivierungs- oder Diffusionsbarriere oder zum Abziehen eines Fotoresists.
Bisher werden bei der Plasmabehandlung von Halbleiter-Bauelementen Halogenkarbonsäuren wie CF. verwendet. Beispielsweise ist es aus der US PS 3 795 557 bekannt, ein Gemisch aus einer Halogenkarbonsäure und Sauerstoff zu verwenden. Eines der Nebenprodukte solcher Reaktionen ist Siliziumtetrafluorid (SiF.), das bisher zur Behandlung von Halbleiterplättchen und anderen Silizium enthaltenden Bauelementen als nicht geeignet betrachtet wurde.
Der Erfindung lsqgt die Aufgabe zugrunde, ein neues und verbessertes Verfahren und Gas zur Vervrendung bei der Behandlung von Halbleiter-Bauelementen anzugeben, die sich zur selektiven Ätzung von Passivierungs- und Diffusionsbarrierenmaterialien, wie Si_N" , insbesondere beim Ätzen von Silizium und Silizium enthaltenden Materialien sowie zum Abziehen oder Ablösen eines Fotoresists eignen.
90 9 820/0739
Überraschendervreise hat sich herausgestellt, daß SiP. und Mischungen von SiF. und Sauerstoff bei der Plasmabehandlung von Halbleiter-Bauelementen überaus brauchbar sind. Durch die Verwendung eines im -wesentlichen aus SiF. bestehenden Gases ergibt sich eine deutliche Verbesserung bei der selektiven Ätzung von Passivierungs- und Diffusionsbarrierenmaterialien wie Si^N.. Ferner konnte überraschenderweise festgestellt werden, daß Mischungen von SiF. und Sauerstoff, die etwa 2 bis etwa 20 Vol.-$ enthalten, beim Ätzen von Silizium und anderen, Silizium enthaltenden Materialien ebenso wirksam sind wie Mischungen aus CF. und Sauerstoff. Weiterhin führen Mischungen von SiF und Sauerstoff mit etwa 50 bis 95 VoI.-^ Sauerstoff beim Ablösen oder Abziehen von Fotoresistmaterialien zu hervorragenden Ergebnissen.
Weitere Ziele, Merkmale und Vorteile der Erfindung ergeben sich aus der folgenden Beschreibung bevorzugter Ausführungsbeispiele anhand der Zeichnung. Es zeigen:
Fig. 1 die schematische Darstellung einer Plasma-Ätzvorrichtung zur Durchführung des erfindungsgemäßen Verfahrens und
Fig. 2 den schematischen Querschnitt der Reaktionskammer der Vorrichtung der Fig. 1.
Die Plasma-Ätzvorrichtung enthält eine insgesamt zylindrische Reaktionskacuner Ii aus geeignetem Material, beispielsweise Quarz, mit einer insgesamt halbkugelf öriaigen Rückwand 12 und einer insgesamt ebenen vorderen Tür 15· Am Boden bzw. an der Oberseite der Reaktionskammer 11 sind ein EinlaSverteiler 16 und ein Auslaßsammler 17 angeordnet. Durch den Einlaßverteiler 16 wird Gas in die Reaktionskammer 11 eingeleitet, und zwar von einer unter Druck stehendes SiF2 enthaltenden Quelle 21 und einer unter Druck stehenden Sauerstoff enthaltenden Quelle 22. Die Durchsätze von den beiden Gasquellen 21 und 22 und die der Reaktionskammer 11 zugeleiteten relativen Anteile der Gase werden durch Strömungsregler 23 bzw. 24 eingestellt und durch
909820/0739
Strömungs-Meßgeräte 26 und 27 überwacht. Das Gas wird aus der Reaktionskammer 11 mittels einer Absaugpumpe 29 entfernt, die an den Auslaßsammler 17 angeschlossen ist.
Eine Einrichtung zur Erregung des Gases in der Kammer zu dessen Ionisierung und zur Bildung des gewünschten Plasmas enthält insgesamt halbzylindrische Elektroden 51 bis 34 (Pig. 2), die koaxial um die Reaktionskammer angeordnet und jeweils zu einem oberen und unteren Paar elektrisch miteinander verbunden sind. Die oberen Elektroden 31, 32 sind geerdet, während die unteren Elektroden 33, 34 mit dem Ausgang eines HF-Generators 36 verbunden sind, der typisch mit einer Frequenz in der Größenordnung von 13,56 MHz arbeitet.
Die zu behandelnden Plättchen 41 befinden sich in einem Schiffchen oder auf einem Gestell 42, das sich bei dem gezeigten Ausführungsbeispiel in einem perforierten Zylinder 44 aus elektrisch leitfähigem Material, wie Aluminium, befindet. Der perforierte Zylinder 44 dient zur Begrenzung der Glimmentladung des Plasmas auf den Bereich zwischen dem Zylinder und der Reaktorwand, was in manchen Anwendungsfällen zu einer schnelleren und gleichmäßigeren Ätzung der zu entfernenden Materialien führt. Ein geeigneter Reaktor ist von der International Plasma Corporation Hayward, Kalifornien, USA, erhältlich.
Nach dem erfiniungsgemäßen Verfahren werden die Plättchen 41 in das Schiffchen 42 eingegeben und das beladene Schiffchen 42 wird in der Reaktionskammer 11 angeordnet. Die Tür 13 wird geschlossen; mittels der Vakuumpumpe 29 wird der Druck in der Reaktionskammer 11 verringert. Von den Quellen 21 und 22 wird Gas in dem gewünschten Mischungsverhältnis in die Reaktionskammer eingeleitet und die Elektroden 31 "bis 34 werden zur Ionisierung des Gases erregt. Das Gasgemisch strömt, kontinuierlich mit einem Durchsatz von etwa. 100 bis 500 cm /min durch die Reaktionskammer 11. Der Arbeitsdruck liegt in der Größenordnung von etwa
90982 0/0739
0,33 bis 6,65 x 10~5 bar (0,25 bis 5 Torr). Die Temperatur in der Reaktionskammer 11 liegt während der Reaktion typisch bei etwa 20 bis 150° C.
Anstelle der beiden getrennten Gasbehälter 21 und 22 mit je eigener getrennter Reguliereinrichtung kann ein einziger Tank verwendet werden, der ein vorbereitetes Gemisch aus SiF, enthält, falls ein Gasgemisch verwendet wird.
Die Tabellen 1 bis 3 (am Ende der Beschreibung) zeigen die Ätzgeschwindigkeiten (1O~^ /um/min) bei unterschiedlichen erfindungsgemäßen Gasgemischen und unterschiedlichen, erfindungsgemäß behandelten Materialien. Diese Ergebnisse wurden bei Ausführung des erfindungegemäßen Verfahrens in einer Reaktionskammer 11 mit einem Durchmesser von etwa 20,3 cm (8 inch) und einer Länge von etwa 45,7 cm (18 inch) bei einer den Elektroden zugeführten RF-Leistung von 350 W erzielt.
Aus Tabelle 1 ist ersichtlich, daß ein im wesentlichen aus SiF, ohne Sauerstoff bestehendes Plasmagas ein äußerst wirksames und selektives Ätzmittel für SixN. ist. Die Verwendung von SiF.
nach dem oben beschriebenen Verfahren (Durchsatz 150 ein /min, P = 0,532 χ ΙΟ""-5 bar) führte zur Entfernung von Si,N, vom Sub-
—3 /
stratplättcheii mit einer Geschwindigkeit von 1 750 χ 10 /um/min ohne merkliche Atzung des darunterliegenden Siliziums oder Silizium enthaltender Verbindungen. Dies ist ein unerwartetes und bedeutendes Ergebnis, weil es nun erstmalig möglich ist, in dünnen Schichten über Silizium oder SiO2 abgelagertes SiJI. in einem Plasmaverfahren zu ätzen, ohne das darunterliegende Substrat anzugreifen. Ein weiterer wichtiger Vorteil dieses Verfahrens ist, daß Fotoresistmaterialien wie Shipley AZ135O, Kodak ZTFR, Hunt Waycoat IC und OMR 83 durch das Plasma nicht merklich angegriffen werden, selbst wenn der perforierte Zylinder 44 nicht benutzt wird.
909820/0739
Die Daten der Tabelllen 2 und 3 zeigen, daß ein Gemisch aus SiF. und etwa 2 bis 20 Vol.-$ Sauerstoff ein wirksames-Ätzmittel für Materialien wie einkristallines Silizium (Si), polykristallines Silizium (POLY), durch chemische Dampfabscheidung aufgebrachtes Silizium (CVD), SiO3, Phosphorsilikatglas (PSG), Molybdän (Mo) und Titan (Ti) ist. Die Daten in den Tabellen 2 und 3 wurden unter identischen Bedingungen unter Anwendung des erfindungsgemäSen Verfahrens (Gasdurchsatz = 750 cnr/min, P= 1 ,33 x 10"^ bar) erhalten, mit der Ausnahme, daß der perforierte Zylinder 44 nur bei den Versuchen gemäß Tabelle 3 benutzt wurde. Bezüglich den Tabellen 2 und 3 sei erwähnt, daß polykristaJines Silizium langsamer geätzt wird als einkristallines Silizium, was den bei CF.-Plasraen beobachteten Gege_.benheiten entgegengesetzt ist. Dies ist wichtig, weil somit mit dem erfindungsgemäßen Gasgemisch polykristallines Silizium kontrolliert geätzt werden kann.
Tabelle 4 enthält die Ergebnisse bei Verwendung von Gasgemischen mit etwa 5 bis 50 Vol.-^ SiF. und etwa 95 bis 50 Vol.-% Sauerstoff in der beschriebenen Vorrichtung (Durchsatz 750 cm /min) zum Ablösen von Fotoresistmaterialien wie Shipley AZI35O, Kodak KTFR, Hunt Waycoat IO und OMR 83. Die Daten der Tabelle 4 zeigen, daß Gemische aus SiF. und Sauerstoff mit verhältnismäßig hohen Sauerstoffkonzentrationen beim Ablösen von Fotoresistmaterialien selbst innerhalb des perforierten Aluminiumzylinders 44 überraschend wirksam sind. Die Ablösung innerhalb eines solchen Zylinders war stets schwierig; nach/dem erfindungs gemäß en Verfahren und bei Verwendung des erfindungsgemäßen Gasgemisches ist es nicht mehr notwendig, die Reaktionskammer zwischen dem Atzen und Ablösen zu öffnea und den perforierten Zylinder 44 zu entfernen.
Zur selektiven Ätzung der Passivierungs- und Diffusionsbarriere von Si„IT wird vorzugsweise technisch reines SiF. verwendet, wie es beispielsweise von Matheson of Lyndhurst, New Jersey, USA, geliefert wird. Es können jedoch auch andere Gasgemische verwendet werden, die im wesentlichen aus SiF. und Gasen bestehen, die die
909820/G739
~9~ 284869V
selektive Ätzung von Si~N. unter den Verfahrensbedingungen nicht behindern oder nachteilig beeinflussen. Das Gasgemisch kann entweder aus binären Gemischen von SiF. und Sauerstoff, SiF., Sauerstoff und anderen aktiven Gasen CF. bestehen oder aus Gemischen, die im wesentlichen aus SiF. und Sauerstoff bestehen, d. h. Gemischen, die andere Gase enthalten, die die Verfahrensergebnisse nicht behindern oder nachteilig beeinflussen. Im allgemeinen werden Gemische mit den zuvor erwähnten Konzentrationen von SiF. und Sauerstoff bevorzugt, es gibt jedoch auch Fälle, in denen vorteilhafterweise Gemische verwendet werden können, die Konzentrationen der Bestandteile außerhalb der bevorzugten Bereiche enthalten.
Zwar wurde zum Zwecke der Erläuterung eine Vorrichtung beschrieben, die die Verwendung von SiF. zur Entfernung eines Passivierungs- und Diffusionsbarrierenmaterials und die nachfolgende Verwendung von Gasgemischen mit SiF. und Sauerstoff zur Entfernung anderer Materialien enthalten, in der Praxis ist das erfindungsgemäße Verfahren jedoch hierauf nicht beschränkt. Gewünschtenfalls kann beispielsweise SiF zur selektiven Atzung eines Passi und Diffusionsbarrierenmaterials wie Si~N. verwendet werden, worauf die Fotoresistschicht und/oder unerwünschte Siliziummaterialien durch Verwendung anderer Gasgemische entfernt werden können, beispielsweise CF. und Sauerstoff.
Die Erfindung bietet eine Anzahl wichtiger Merkmale und Vorteile. Sie ermöglicht die Anwendung eines Verfahrens und eines Gasgemisches, die bei der selektiven Ätzung von Si,N., beim Ablösen eines Fotoresistmaterials und beim Ätzen von Materialien wie Silizium und Silizium enthaltenden Verbindungen überraschend wirksam sind.
Tabelle 1 - SiF4 p = ^532 # 1Q-3 bar {0A Torr)
geätztes Material Ätzgeschw. (1O~^ /um/min)
Si3N4 1750 (175 2/min)
SiO2 0
CVD 0
Si 0
PSG 0
909820/Q739
Tabelle 2 - SiF4 + Sauerstoff POLY CFD SiO2 PSG Si3H4 Ko bar Ti
(Ohne perforierten Zylinder) .100 0 1O 0 350 1 320 4000
Sauerstoff Si 4800 600 300 1700 4000 - -
Qfo O+ 900 500 23OO 4000 11 600 5700
2i° 10000 - - - - 4000 - -
12000 5100 1200 750 3100 4000 - -
efo 1800 -
8% Ätzgeschwindigkeit (10~^ /um/min) P = 1 ,33 · 10" (1 ,0 Torr)
Of, Tabelle 3 - SiF
(mit perforiertem
4 + Sauerstoff
Zylinder)
Sauerstoff Si POLY CVD
SiO
Mo
Ti
0" 0 0 0 0 10 - -
500 460 0 10 0 150 - -
1250 470 45 30 10 150 240 200
1100 - - 30 200 200 - -
870 440 75 40 190 25O - -
100 30 25O - -
digkei t (ΙΟ"5 /um/min) P = 1 ,33 · 10~5 bar (1,0 Torr)
Tabelle 4 - Fotoresist-Ablösung
./0o-Gemisch in perforiertem Zylinder)
P = 1,33-10 (#) (1,0 Torr)
11,O+
6,5 4,0 3,0 2,6 2,2
P = 2,66-10 5bar (2,0 Torr)
10,1
6,7 5,6 4,6 3,9 3,4
+Ablösezeit (min, Schicht aus negativem Fotoresist mit einer Stärke von etwa 1 /um).
909820/0739

Claims (1)

  1. PATENT* NWÄLTE
    SCHIFF ν. FÜNER STREHL SCHÜBEL-HOPF EBBiNGHAUS FINCK
    MARIAHILFPLATZ 2 & 3, MDNCHEN SO POSTADRESSE: POSTFACH 95Ο16Ο. D-8OOO MÖNCHEN 95
    KARL LUOWIS SCHIFF
    DIPL. CHEM. DR. ALEXANDER V. FÜNER
    DIPL. ING. PETER STRAHL
    DIPL. CHEM. DR. URSULA SCHOaEL-HOPF
    DIPL. INS. DIETER EBBINQHAUS
    DR. INS. DIETER FINCK
    TELEFON (Ο39) 43SOS4
    TELEX 5-S3S65 AURO D
    TELEGRAMME AUROMARCPAT MÜNCHEN
    DIOHEX GOSPOEATION DSA-U365
    WILL ROSS, INC. 9- November 1S78
    Verfahren und Gas zur Behandlung von Halbleiter-Bauelementen
    PATEITAESPEÜCHE
    7) Verfahren zur Entfernung eines Materials von einem Halbleiter-Bauelesient in eiiiea Plasma, dadurch gekennzeichnet daß das Material sine® gasförmigen Plasma aus einem Gemisch enthaltend SiF,, und. Sauerstoff für eine Zeit ausgesetzt wird, die zur Entfernung einer vorbestimmten Menge des Materials ausreicht.
    Verfahren nach Anspruch 1 , dadurch gekennzeichnet , daß das zu entfernende Material ein Fotoresist ist, und daß das Gemisch zwischen 10 und 50 Vol.-# SiF. enthält.
    909820/0739
    3· Verfahren zur Entfernung eines Materials, das aus Silizium besteht oder Silizium enthält, von einem Halbleiter-Bauelement in einem Plasma, dadurch gekennzeichnet, daß das Material einem als Hauptbestandteil SiP. enthaltenden Gasplasma für eine Zeit ausgesetzt wird, die ausreicht, eine vorbestimmte Menge des Materials zu entfernen.
    4- Verfahren zum selektiven Wegätzen von Si-JL· von einem Halbleiter—Bauelement in einem Plasma, dadurch g e k e η η -τ zeichnet , daß das Bauelement einem SiP. und keinen Sauerstoff enthaltenden Gasplasma für eine Zeit ausgesetzt wird, die ausreicht, das Si-JL zu entfernen.
    5- Gas zur Entfernung von Silizium oder einem Silizium enthaltenden Material von einem Halbleiter-Bauelement in einem Plasisa, gekennzeichnet durch ein Gemisch aus und Sauerstoff.
    6. Gas nach Anspruch 5, dadurch gekennzeichnet , daß das Gemisch 2 bis 20 VoI.-^ Sauerstoff enthält.
    7- Gas zur Entfernung eines Fotoresist von einer Halbleiteranordnung in einesE Plasma, gekennzeichnet durch ein Gemisch aus SiF. und Sauerstoff.
    8. Gas nach Anspruch 8, dadurch gekennzeichnet , daß das Gesisch. wenigstens 50 Vol.-jS Sauerstoff enthält.
    9. Verfahren zum selektiven Wegätzen eines Passivierungs- und Diffusionsbarrierenmaterials von einem Substrat, bei dem das Substrat in einem Plasma angeordnet wird, dadurch g e k e η η zeichnet , daß das Substrat einem im wes entlichen aus SiF. bestehenden. Gasplasma für eine Zeit ausgesetzt wird, die ausreicht, die gewünschte Menge des Barrierenmaterials vom Substrat zu entfernen.
    909820/0739
    10. Verfahren zum Ätzen eines Materials, das Silizium enthält, von Molybdän oder Titan, bei dem das Material in ein Plasma eingebracht wird, dadurch gekennzeichnet , daß das Material darauf einem SiF und Sauerstoff enthaltenden Gasplasma für eine Zeit ausgesetzt wird, während der das Material in der gewünschten "Weise geätzt wird.
    , Verfahren nach Anspruch 10, dadurch gekennzeichnet, daß das Gemisch etwa 2 bis etwa 20 Vol,-$ Sauerstoff enthält.
    12. Verfahren zum Entfernen eines Fotoresistmaterials von einem Halbleiter-Bauelement, bei dem das Bauelement in ein Plasma eingebracht wird, dadurch gekennzeichnet , daß das Bauelement einem Gasplasmagemisch, das Sauerstoff und wenigstens 5 $ SiF. enthält, für eine Zeit ausgesetzt wird, die ausreicht, die gewünschte Menge des Fotoresistmaterials abzulösen.
    3. Gemisch zur Verwendung als Gasplasma in einem Plasma-Ätzverfahren, dadurch gekennzeichnet , daß es SiF. und Sauerstoff enthält.
    14. Gemisch nach Anspruch 13» gekennzeichnet durch einen Sauerstoffgehalt von etwa 2 bis etwa 20 Vol.-$.
    · Gemisch nach Anspruch 13» gekennzeichnet durch einen Gehalt von wenigstens 5 Vol.-jS SiF..
    16. Gasgemisch zur Verwendung bei einem Plasmaätzverfahren, da- durch gekennzeichnet , daß es im wesentlichen aus SiF. und Sauerstoff: besteht.
    909820/0739
DE19782848691 1977-11-11 1978-11-09 Verfahren und gas zur behandlung von halbleiter-bauelementen Withdrawn DE2848691A1 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US05/850,713 US4303467A (en) 1977-11-11 1977-11-11 Process and gas for treatment of semiconductor devices

Publications (1)

Publication Number Publication Date
DE2848691A1 true DE2848691A1 (de) 1979-05-17

Family

ID=25308913

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19782848691 Withdrawn DE2848691A1 (de) 1977-11-11 1978-11-09 Verfahren und gas zur behandlung von halbleiter-bauelementen

Country Status (8)

Country Link
US (1) US4303467A (de)
JP (1) JPS5489484A (de)
CA (1) CA1117400A (de)
DE (1) DE2848691A1 (de)
FR (1) FR2408913A1 (de)
GB (1) GB2008499B (de)
IT (1) IT7829676A0 (de)
NL (1) NL7811183A (de)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3410023A1 (de) * 1983-03-25 1984-09-27 LFE Corp., Clinton, Mass. Verfahren und aetzmittel zum selektiven reaktiven ionenaetzen von aluminium und aluminiumlegierungen
EP0164892A1 (de) * 1984-05-15 1985-12-18 Fujitsu Limited Waagerecht angeordnete Heizvorrichtung
EP0184917A1 (de) * 1984-12-13 1986-06-18 Stc Plc Plasmareaktorgefäss und Verfahren

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DD150318A3 (de) * 1980-02-08 1981-08-26 Rainer Moeller Verfahren und rohrreaktor zur plasmachemischen dampfphasenabscheidung und zum plasmaaetzen
US4264409A (en) * 1980-03-17 1981-04-28 International Business Machines Corporation Contamination-free selective reactive ion etching or polycrystalline silicon against silicon dioxide
US4415402A (en) * 1981-04-02 1983-11-15 The Perkin-Elmer Corporation End-point detection in plasma etching or phosphosilicate glass
US4550242A (en) * 1981-10-05 1985-10-29 Tokyo Denshi Kagaku Kabushiki Kaisha Automatic plasma processing device and heat treatment device for batch treatment of workpieces
US4550239A (en) * 1981-10-05 1985-10-29 Tokyo Denshi Kagaku Kabushiki Kaisha Automatic plasma processing device and heat treatment device
US4462882A (en) * 1983-01-03 1984-07-31 Massachusetts Institute Of Technology Selective etching of aluminum
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
JPH0698292B2 (ja) * 1986-07-03 1994-12-07 忠弘 大見 超高純度ガスの供給方法及び供給系
US4793897A (en) * 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US5169478A (en) * 1987-10-08 1992-12-08 Friendtech Laboratory, Ltd. Apparatus for manufacturing semiconductor devices
EP0368732B1 (de) * 1988-11-04 1995-06-28 Fujitsu Limited Verfahren zum Erzeugen eines Fotolackmusters
US4900395A (en) * 1989-04-07 1990-02-13 Fsi International, Inc. HF gas etching of wafers in an acid processor
US5217567A (en) * 1992-02-27 1993-06-08 International Business Machines Corporation Selective etching process for boron nitride films
US5362353A (en) * 1993-02-26 1994-11-08 Lsi Logic Corporation Faraday cage for barrel-style plasma etchers
US20040213368A1 (en) * 1995-09-11 2004-10-28 Norman Rostoker Fusion reactor that produces net power from the p-b11 reaction
US6465159B1 (en) * 1999-06-28 2002-10-15 Lam Research Corporation Method and apparatus for side wall passivation for organic etch
US6664740B2 (en) 2001-02-01 2003-12-16 The Regents Of The University Of California Formation of a field reversed configuration for magnetic and electrostatic confinement of plasma
US6611106B2 (en) * 2001-03-19 2003-08-26 The Regents Of The University Of California Controlled fusion in a field reversed configuration and direct energy conversion
JP2004273532A (ja) * 2003-03-05 2004-09-30 Hitachi High-Technologies Corp プラズマエッチング方法
US9123512B2 (en) 2005-03-07 2015-09-01 The Regents Of The Unviersity Of California RF current drive for plasma electric generation system
US9607719B2 (en) 2005-03-07 2017-03-28 The Regents Of The University Of California Vacuum chamber for plasma electric generation system
US8031824B2 (en) 2005-03-07 2011-10-04 Regents Of The University Of California Inductive plasma source for plasma electric generation system
HUE043986T2 (hu) 2011-11-14 2019-09-30 Univ California Eljárások nagyteljesítményû FRC létrehozására és fenntartására
BR112016001680A2 (pt) 2013-08-29 2017-09-19 Halliburton Energy Services Inc Métodos e sistemas para a geração de espécies reativas de fluoreto a partir de um precursor gasoso em uma formação subterrânea para a estimulação do mesmo
SG10201709505YA (en) 2013-09-24 2017-12-28 Tri Alpha Energy Inc Systems and methods for forming and maintaining a high performance frc
UA121318C2 (uk) 2014-10-13 2020-05-12 ТАЄ Текнолоджіс, Інк. Системи і способи злиття і стискування компактних тороїдів
DK3213608T3 (da) 2014-10-30 2019-10-07 Tae Tech Inc Systemer og fremgangsmåder til at danne og fastholde et plasma i en frc med høj ydelse
PE20180334A1 (es) 2015-05-12 2018-02-16 Tri Alpha Energy Inc Sistemas y metodos para reducir las corrientes parasitas no deseadas
SI3357067T1 (sl) 2015-11-13 2022-01-31 Tae Technologies, Inc. Sistemi in postopki za položajno stabilnost plazme FRC
IL266075B2 (en) 2016-10-28 2024-06-01 Tae Tech Inc Systems and methods for improving high energy FRC performance retention using tunable beam energy neutral beam injectors
AU2017355652B2 (en) 2016-11-04 2022-12-15 Tae Technologies, Inc. Systems and methods for improved sustainment of a high performance FRC with multi-scaled capture type vacuum pumping
AU2017362979B2 (en) 2016-11-15 2022-10-27 Tae Technologies, Inc. Systems and methods for improved sustainment of a high performance FRC and high harmonic fast wave electron heating in a high performance FRC
US20210325337A1 (en) * 2018-08-17 2021-10-21 Life Technologies Corporation Method of forming ion sensors
EP3837540A1 (de) * 2018-08-17 2021-06-23 Life Technologies Corporation Verfahren zur herstellung von ionensensoren

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4026742A (en) * 1972-11-22 1977-05-31 Katsuhiro Fujino Plasma etching process for making a microcircuit device
US4213818A (en) * 1979-01-04 1980-07-22 Signetics Corporation Selective plasma vapor etching process

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3410023A1 (de) * 1983-03-25 1984-09-27 LFE Corp., Clinton, Mass. Verfahren und aetzmittel zum selektiven reaktiven ionenaetzen von aluminium und aluminiumlegierungen
EP0164892A1 (de) * 1984-05-15 1985-12-18 Fujitsu Limited Waagerecht angeordnete Heizvorrichtung
US4613305A (en) * 1984-05-15 1986-09-23 Fujitsu Limited Horizontal furnace with a suspension cantilever loading system
EP0184917A1 (de) * 1984-12-13 1986-06-18 Stc Plc Plasmareaktorgefäss und Verfahren

Also Published As

Publication number Publication date
IT7829676A0 (it) 1978-11-10
JPS5489484A (en) 1979-07-16
US4303467A (en) 1981-12-01
CA1117400A (en) 1982-02-02
GB2008499A (en) 1979-06-06
NL7811183A (nl) 1979-05-15
FR2408913A1 (fr) 1979-06-08
GB2008499B (en) 1982-07-28

Similar Documents

Publication Publication Date Title
DE2848691A1 (de) Verfahren und gas zur behandlung von halbleiter-bauelementen
EP0133621B1 (de) Verfahren zum Trockenätzen von Kupfer und seine Verwendung
DE69914917T2 (de) Verfahren und Vorrichtung zum Behandeln eines Werkstücks, wie beispielsweise eines Halbleiter-Wafers
DE3427599A1 (de) Bauelement-herstellungsverfahren
DE10320472A1 (de) Plasmabehandlung zur Reinigung von Kupfer oder Nickel
DE2721086A1 (de) Verfahren zum abaetzen einer siliziumdioxidschicht von einer unterlage
DE2658448C3 (de) Verfahren zum Ätzen einer auf einem Halbleiterkörper aufgebrachten Schicht aus Siliciumnitrid in einem Gasplasma
DE2640511B2 (de) Verfahren und Vorrichtung zum selektiven Ätzen einer Aluminiumschicht
DE69909346T2 (de) Reinigungslösung für elektronische Bauteile sowie deren Verwendung
DE3103177A1 (de) Verfahren zum herstellen von polysiliziumstrukturen bis in den 1 (my)m-bereich auf integrierte halbleiterschaltungen enthaltenden substraten durch plasmaaetzen
DE19825033A1 (de) System zum Behandeln von Halbleitersubstraten und Behandlungsverfahren von Halbleitersubstraten
DE69837112T2 (de) Verfahren und zusammensetzung zur entfernung von photoresist in der halbleiterfertigung
DE3925070C2 (de) Verfahren zum Erhalt einer sauberen Siliziumoberfläche
EP0344764B1 (de) Verfahren zur nasschemischen Oberflächenbehandlung von Halbleiterscheiben
DE19751784A1 (de) Verfahren zum Herstellen einer Barriereschicht
DE69829850T2 (de) Verfahren und zusammensetzungen zum nachätzungsbehandeln von schichtfolgen in der halbleiterherstellung
EP1490899B1 (de) Zusammensetzung zum entfernen von "sidewall-residues"
DE69933025T2 (de) Reinigungsflüssigkeit und reinigungsverfahren für halbleiterbearbeitungsmaschinenkomponente
DE2613490A1 (de) Verfahren zur entfernung von vorspruengen auf epitaxieschichten
DE3125136A1 (de) Verfahren zur herstellung einer halbleiteranordnung
DE3030814C2 (de) Verfahren zum Plasmaätzen eines Werkstücks
WO2019081752A1 (de) 2-stufiger trockenätzprozess zur texturierung kristalliner siliziumscheiben
DE3140675A1 (de) Verfahren und gasgemisch zum aetzen von aluminium
DE10304851A1 (de) Ätzverfahren
KR100223964B1 (ko) 반도체 웨이퍼 재생을 위한 식각액 조성물

Legal Events

Date Code Title Description
8139 Disposal/non-payment of the annual fee