DE19782253T1 - Verfahren und Vorrichtung zum Metallisieren von Siliciumkontakten mit großem Geometrieverhältnis auf Halbleiterbauelementen - Google Patents

Verfahren und Vorrichtung zum Metallisieren von Siliciumkontakten mit großem Geometrieverhältnis auf Halbleiterbauelementen

Info

Publication number
DE19782253T1
DE19782253T1 DE19782253T DE19782253T DE19782253T1 DE 19782253 T1 DE19782253 T1 DE 19782253T1 DE 19782253 T DE19782253 T DE 19782253T DE 19782253 T DE19782253 T DE 19782253T DE 19782253 T1 DE19782253 T1 DE 19782253T1
Authority
DE
Germany
Prior art keywords
semiconductor components
silicon contacts
geometry ratio
large geometry
metallizing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE19782253T
Other languages
English (en)
Inventor
Michael S Ameen
Joseph T Hillman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Arizona Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Arizona Inc filed Critical Tokyo Electron Arizona Inc
Publication of DE19782253T1 publication Critical patent/DE19782253T1/de
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/916Differential etching apparatus including chamber cleaning means or shield for preventing deposits

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
DE19782253T 1997-01-31 1997-12-11 Verfahren und Vorrichtung zum Metallisieren von Siliciumkontakten mit großem Geometrieverhältnis auf Halbleiterbauelementen Withdrawn DE19782253T1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/791,954 US5834371A (en) 1997-01-31 1997-01-31 Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
PCT/US1997/023202 WO1998034445A1 (en) 1997-01-31 1997-12-11 Method and apparatus for metallizing high aspect ratio silicon semiconductor device contacts

Publications (1)

Publication Number Publication Date
DE19782253T1 true DE19782253T1 (de) 1999-12-02

Family

ID=25155346

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19782253T Withdrawn DE19782253T1 (de) 1997-01-31 1997-12-11 Verfahren und Vorrichtung zum Metallisieren von Siliciumkontakten mit großem Geometrieverhältnis auf Halbleiterbauelementen

Country Status (7)

Country Link
US (2) US5834371A (de)
JP (1) JP3606588B2 (de)
KR (1) KR100445018B1 (de)
AU (1) AU5799498A (de)
DE (1) DE19782253T1 (de)
GB (1) GB2337766B (de)
WO (1) WO1998034445A1 (de)

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6132564A (en) * 1997-11-17 2000-10-17 Tokyo Electron Limited In-situ pre-metallization clean and metallization of semiconductor wafers
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US6055927A (en) 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US6749717B1 (en) 1997-02-04 2004-06-15 Micron Technology, Inc. Device for in-situ cleaning of an inductively-coupled plasma chambers
JP3801730B2 (ja) * 1997-05-09 2006-07-26 株式会社半導体エネルギー研究所 プラズマcvd装置及びそれを用いた薄膜形成方法
US5976976A (en) 1997-08-21 1999-11-02 Micron Technology, Inc. Method of forming titanium silicide and titanium by chemical vapor deposition
US6087261A (en) * 1997-09-30 2000-07-11 Fujitsu Limited Method for production of semiconductor device
KR100253089B1 (ko) * 1997-10-29 2000-05-01 윤종용 반도체소자 제조용 화학기상증착장치 및 이의 구동방법, 그 공정챔버 세정공정 레시피 최적화방법
JP3189771B2 (ja) * 1997-11-26 2001-07-16 日本電気株式会社 半導体装置の製造方法
TW507015B (en) * 1997-12-02 2002-10-21 Applied Materials Inc In-situ, preclean of wafers prior to a chemical vapor deposition titanium deposition step
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
JPH11217672A (ja) * 1998-01-30 1999-08-10 Sony Corp 金属窒化物膜の化学的気相成長方法およびこれを用いた電子装置の製造方法
US6143362A (en) * 1998-02-25 2000-11-07 Micron Technology, Inc. Chemical vapor deposition of titanium
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
US6294466B1 (en) * 1998-05-01 2001-09-25 Applied Materials, Inc. HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
TW589398B (en) * 1998-05-20 2004-06-01 Samsung Electronics Co Ltd Filtering technique for CVD chamber process gases and the same apparatus
US20010049181A1 (en) 1998-11-17 2001-12-06 Sudha Rathi Plasma treatment for cooper oxide reduction
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US6372301B1 (en) * 1998-12-22 2002-04-16 Applied Materials, Inc. Method of improving adhesion of diffusion layers on fluorinated silicon dioxide
US6417041B1 (en) * 1999-03-26 2002-07-09 Advanced Micro Devices, Inc. Method for fabricating high permitivity dielectric stacks having low buffer oxide
GB2349392B (en) * 1999-04-20 2003-10-22 Trikon Holdings Ltd A method of depositing a layer
US6444556B2 (en) * 1999-04-22 2002-09-03 Micron Technology, Inc. Chemistry for chemical vapor deposition of titanium containing films
US6402848B1 (en) * 1999-04-23 2002-06-11 Tokyo Electron Limited Single-substrate-treating apparatus for semiconductor processing system
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP4459329B2 (ja) * 1999-08-05 2010-04-28 キヤノンアネルバ株式会社 付着膜の除去方法及び除去装置
US6335282B1 (en) * 1999-08-26 2002-01-01 Micron Technology, Inc. Method of forming a titanium comprising layer and method of forming a conductive silicide contact
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US7105449B1 (en) * 1999-10-29 2006-09-12 Matsushita Electric Industrial Co., Ltd. Method for cleaning substrate and method for producing semiconductor device
US6265803B1 (en) * 1999-11-10 2001-07-24 Brooks Automation, Inc. Unlimited rotation vacuum isolation wire feedthrough
TW535192B (en) * 1999-11-16 2003-06-01 Tokyo Electron Ltd Substrate processing unit and processing method
JP4209057B2 (ja) * 1999-12-01 2009-01-14 東京エレクトロン株式会社 セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法
US6365516B1 (en) * 2000-01-14 2002-04-02 Advanced Micro Devices, Inc. Advanced cobalt silicidation with in-situ hydrogen plasma clean
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US20010051487A1 (en) * 2000-04-26 2001-12-13 Yuichi Hashimoto Method for making organic luminescent device
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US7060614B2 (en) * 2000-07-28 2006-06-13 Tokyo Electron Limited Method for forming film
US6573181B1 (en) 2000-10-26 2003-06-03 Applied Materials, Inc. Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
TW511135B (en) * 2000-12-06 2002-11-21 Angstron Systems Inc Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7094614B2 (en) 2001-01-16 2006-08-22 International Business Machines Corporation In-situ monitoring of chemical vapor deposition process by mass spectrometry
TW544775B (en) * 2001-02-28 2003-08-01 Japan Pionics Chemical vapor deposition apparatus and chemical vapor deposition method
KR20020087535A (ko) * 2001-05-14 2002-11-23 삼성전자 주식회사 화학증기증착 쳄버의 개스공급장치
JP3987312B2 (ja) * 2001-08-31 2007-10-10 株式会社東芝 半導体装置の製造装置および製造方法ならびに半導体製造装置のクリーニング方法
US6902620B1 (en) * 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
JP4908738B2 (ja) * 2002-01-17 2012-04-04 サンデュー・テクノロジーズ・エルエルシー Ald方法
TWI273642B (en) * 2002-04-19 2007-02-11 Ulvac Inc Film-forming apparatus and film-forming method
US7169704B2 (en) * 2002-06-21 2007-01-30 Samsung Electronics Co., Ltd. Method of cleaning a surface of a water in connection with forming a barrier layer of a semiconductor device
JP4540926B2 (ja) * 2002-07-05 2010-09-08 忠弘 大見 プラズマ処理装置
US7077388B2 (en) * 2002-07-19 2006-07-18 Asm America, Inc. Bubbler for substrate processing
US20040018715A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Method of cleaning a surface of a material layer
EP1585999A4 (de) * 2002-08-02 2008-09-17 E A Fischione Instr Inc Verfahren und vorrichtung zur vorbereitung von proben für die mikroskopie
US20040055708A1 (en) * 2002-09-24 2004-03-25 Infineon Technologies Richmond, Lp Apparatus and method for in-situ cleaning of borosilicate (BSG) and borophosphosilicate (BPSG) films from CVD chambers
US6703317B1 (en) * 2003-01-30 2004-03-09 Taiwan Semiconductor Manufacturing Co., Ltd Method to neutralize charge imbalance following a wafer cleaning process
US7604708B2 (en) * 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
US20040216843A1 (en) * 2003-05-01 2004-11-04 Kuang-Chung Peng Plasm etching device
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
CN1332064C (zh) * 2003-09-03 2007-08-15 统宝光电股份有限公司 降低沉积反应室腔体内氟残留的方法
US7727588B2 (en) * 2003-09-05 2010-06-01 Yield Engineering Systems, Inc. Apparatus for the efficient coating of substrates
US7229911B2 (en) 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20070186953A1 (en) * 2004-07-12 2007-08-16 Savas Stephen E Systems and Methods for Photoresist Strip and Residue Treatment in Integrated Circuit Manufacturing
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
TWI240328B (en) * 2004-08-27 2005-09-21 Univ Nat Cheng Kung Pretreatment process of substrate in micro-nano imprinting technology
EP1899040A2 (de) * 2005-06-22 2008-03-19 Advanced Technology Materials, Inc. Vorrichtung und verfahren zur integrierten gasmischung
EP2813294A1 (de) 2005-08-30 2014-12-17 Advanced Technology Materials, Inc. Borionenimplantation unter Verwendung alternativer fluorierter Borvorläufer und Bildung großer Borhydride für die Implantation
US7432209B2 (en) * 2006-03-22 2008-10-07 Applied Materials, Inc. Plasma dielectric etch process including in-situ backside polymer removal for low-dielectric constant material
US20080202419A1 (en) * 2007-02-27 2008-08-28 Smith John M Gas manifold directly attached to substrate processing chamber
US8568555B2 (en) * 2007-03-30 2013-10-29 Tokyo Electron Limited Method and apparatus for reducing substrate temperature variability
JP2008263093A (ja) * 2007-04-13 2008-10-30 Tokyo Electron Ltd エッチング方法、エッチングシステムおよびエッチング装置
US7894037B2 (en) * 2007-07-30 2011-02-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR20090013286A (ko) * 2007-08-01 2009-02-05 삼성전자주식회사 반도체 소자 제조설비
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
KR100960864B1 (ko) 2007-12-24 2010-06-08 주식회사 테라세미콘 플라즈마 화학기상 증착장치
TWI619153B (zh) 2008-02-11 2018-03-21 恩特葛瑞斯股份有限公司 在半導體處理系統中離子源之清洗
US8298958B2 (en) * 2008-07-17 2012-10-30 Lam Research Corporation Organic line width roughness with H2 plasma treatment
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
US20110021011A1 (en) 2009-07-23 2011-01-27 Advanced Technology Materials, Inc. Carbon materials for carbon implantation
KR101245769B1 (ko) * 2009-07-28 2013-03-20 엘아이지에이디피 주식회사 화학기상증착장치, 화학기상증착장치용 가이드부재 및 화학기상증착장치를 이용한 박막제조방법
US8598022B2 (en) 2009-10-27 2013-12-03 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
US8895116B2 (en) 2010-11-04 2014-11-25 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of crystalline semiconductor film and manufacturing method of semiconductor device
US8815635B2 (en) 2010-11-05 2014-08-26 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of photoelectric conversion device
JP5736820B2 (ja) * 2011-02-15 2015-06-17 富士通株式会社 半導体製造装置の洗浄装置及びそれを用いた半導体装置の製造方法
WO2014100047A1 (en) * 2012-12-21 2014-06-26 Applied Materials, Inc. Methods and apparatus for cleaning substrate structures with atomic hydrogen
GB201316446D0 (en) * 2013-09-16 2013-10-30 Spts Technologies Ltd Pre-cleaning a semiconductor structure
WO2016040547A1 (en) 2014-09-11 2016-03-17 Massachusetts Institute Of Technology Processing system for small substrates
US10100409B2 (en) 2015-02-11 2018-10-16 United Technologies Corporation Isothermal warm wall CVD reactor
US9583485B2 (en) * 2015-05-15 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device structure with uneven gate structure and method for forming the same
JP6696322B2 (ja) * 2016-06-24 2020-05-20 東京エレクトロン株式会社 ガス処理装置、ガス処理方法及び記憶媒体
US11239060B2 (en) 2018-05-29 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Ion beam etching chamber with etching by-product redistributor
JP2021136255A (ja) * 2020-02-25 2021-09-13 東京エレクトロン株式会社 プラズマ処理方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4579609A (en) * 1984-06-08 1986-04-01 Massachusetts Institute Of Technology Growth of epitaxial films by chemical vapor deposition utilizing a surface cleaning step immediately before deposition
US4871421A (en) * 1988-09-15 1989-10-03 Lam Research Corporation Split-phase driver for plasma etch system
US5084125A (en) * 1989-09-12 1992-01-28 Matsushita Electric Industrial Co., Ltd. Apparatus and method for producing semiconductor substrate
US5342652A (en) * 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
US5370739A (en) * 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5434110A (en) * 1992-06-15 1995-07-18 Materials Research Corporation Methods of chemical vapor deposition (CVD) of tungsten films on patterned wafer substrates
US5391281A (en) * 1993-04-09 1995-02-21 Materials Research Corp. Plasma shaping plug for control of sputter etching
JP3436776B2 (ja) * 1993-08-09 2003-08-18 忠弘 大見 ウエハ洗浄装置及び洗浄方法
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5529657A (en) * 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
US5525159A (en) * 1993-12-17 1996-06-11 Tokyo Electron Limited Plasma process apparatus
US5556521A (en) * 1995-03-24 1996-09-17 Sony Corporation Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source
JPH0982495A (ja) * 1995-09-18 1997-03-28 Toshiba Corp プラズマ生成装置およびプラズマ生成方法

Also Published As

Publication number Publication date
GB9917626D0 (en) 1999-09-29
KR100445018B1 (ko) 2004-08-18
US5834371A (en) 1998-11-10
JP3606588B2 (ja) 2005-01-05
KR20000070665A (ko) 2000-11-25
JP2002505804A (ja) 2002-02-19
GB2337766B (en) 2001-10-10
GB2337766A (en) 1999-12-01
AU5799498A (en) 1998-08-25
WO1998034445A1 (en) 1998-08-06
US6143128A (en) 2000-11-07

Similar Documents

Publication Publication Date Title
DE19782253T1 (de) Verfahren und Vorrichtung zum Metallisieren von Siliciumkontakten mit großem Geometrieverhältnis auf Halbleiterbauelementen
DE69831586D1 (de) Schaltung und verfahren zum konfigurieren und registrieren einer kryptografischen vorrichtung
DE69015511T2 (de) Verfahren und Vorrichtung zum Verbinden von Halbleitersubstraten.
DE69415298D1 (de) Verfahren und einrichtung zum ätzen von halbleiterwarfern
DE69411213D1 (de) Verfahren und Vorrichtung zum Waschen von Substraten
DE69718917D1 (de) Verfahren und vorrichtung zum nachweis von mikrofehlern in halbleitern
DE69615603D1 (de) Vorrichtung und Verfahren zum Reinigen von Halbleiterplättchen
DE69607547D1 (de) Verfahren und Vorrichtung zum Polieren von Halbleiterscheiben
DE69834862D1 (de) Verfahren und Vorrichtung zum Ausrichten von Gegenständen
DE69509561D1 (de) Verfahren und Vorrichtung zum Abfasen von Halbleiterscheiben
DE58903199D1 (de) Verfahren und vorrichtung zum betrieb einer loetstation.
DE59802824D1 (de) Verfahren und Vorrichtung zum Polieren von Halbleiterscheiben
DE59801255D1 (de) Verfahren und vorrichtung zum fertigen von komplexen werkstücken
DE69835942D1 (de) Verfahren und vorrichtung zum zuführen von bauteilen
DE69110596D1 (de) Verfahren und Vorrichtung zum Betätigen einer elektronischen Vorrichtung.
DE69618882T2 (de) Verfahren und Vorrichtung zum Polieren von Halbleitersubstraten
DE69207604T2 (de) Verfahren und vorrichtung zum spalten von halbleiterplatten
DE50008122D1 (de) Verfahren und vorrichtung zum bestücken von substraten mit bauelementen
DE69504969T2 (de) Verfahren und vorrichtung zum tranferieren von modulen
DE69826846T8 (de) Verfahren und vorrichtung zum aufspulen von bauteilen
DE69401227T2 (de) Verfahren und Vorrichtung zum Zusammenschalten von elektrischen Schaltungen
DE50007134D1 (de) Verfahren und vorrichtung zum bestücken von substraten mit bauelementen
DE69804540D1 (de) Vorrichtung und Verfahren zum Ausrichten von Teilen
DE68914415T2 (de) Verfahren und Vorrichtung zum Betrieb einer Anzeige.
DE19980448D2 (de) Verfahren und Vorrichtung zum Behandeln von Wafern mit Bauelementen beim Abdünnen des Wafers und beim Vereinzeln der Bauelemente

Legal Events

Date Code Title Description
8110 Request for examination paragraph 44
8125 Change of the main classification

Ipc: C23C 1602

8127 New person/name/address of the applicant

Owner name: TOKYO ELECTRON LTD., TOKIO/TOKYO, JP

Owner name: TOKYO ELECTRON ARIZONA INC., GILBERT, ARIZ., US

8127 New person/name/address of the applicant

Owner name: TOKYO ELECTRON LTD., TOKIO/TOKYO, JP

8139 Disposal/non-payment of the annual fee