DE112012002648T5 - Lokale Zwischenverbindung mit einem niedrigen Profil und Verfahren zum Herstellen derselben - Google Patents

Lokale Zwischenverbindung mit einem niedrigen Profil und Verfahren zum Herstellen derselben Download PDF

Info

Publication number
DE112012002648T5
DE112012002648T5 DE112012002648.9T DE112012002648T DE112012002648T5 DE 112012002648 T5 DE112012002648 T5 DE 112012002648T5 DE 112012002648 T DE112012002648 T DE 112012002648T DE 112012002648 T5 DE112012002648 T5 DE 112012002648T5
Authority
DE
Germany
Prior art keywords
gate stacks
lpli
conductive contacts
conductive
vias
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE112012002648.9T
Other languages
English (en)
Other versions
DE112012002648B4 (de
Inventor
David V. Horak
Charles W. Koburger
Shom Ponoth
Chih-Chao Yang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE112012002648T5 publication Critical patent/DE112012002648T5/de
Application granted granted Critical
Publication of DE112012002648B4 publication Critical patent/DE112012002648B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/15Interconnection of switching modules
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/45Arrangements for providing or supporting expansion
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L45/00Routing or path finding of packets in data switching networks
    • H04L45/58Association of routers

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Signal Processing (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Ausführungsformen der vorliegenden Erfindung stellen eine Struktur bereit. Die Struktur beinhaltet eine Mehrzahl von Feldeffekttransistoren mit Gate-Stapeln, die auf der Oberseite eines Halbleitersubstrats ausgebildet sind, wobei die Gate-Stapel Abstandshalter aufweisen, die an Seitenwänden derselben ausgebildet sind; sowie einen oder mehrere leitfähige Kontakte, die direkt auf der Oberseite des Halbleitersubstrats ausgebildet sind und wenigstens eine Source/einen Drain von einem der Mehrzahl von Feldeffekttransistoren mit wenigstens einer Source/einem Drain eines weiteren der Mehrzahl von Feldeffekttransistoren verbinden, wobei der eine oder die mehreren leitfähigen Kontakte ein Teil einer lokalen Zwischenverbindung mit einem niedrigen Profil sind, die eine Höhe aufweist, die geringer als eine Höhe der Gate-Stapel ist.

Description

  • VERWEIS AUF EINE VERWANDTE ANMELDUNG
  • Diese Anmeldung beansprucht den Prioritätsvorteil der United States Patentanmeldung S/N: 13/169 081 mit dem Titel ”Low-Profile Local Interconnect and Method of Making the same”, eingereicht am 27. Juni 2011, deren Inhalt durch Verweis in ihrer Gesamtheit hierin aufgenommen ist.
  • GEBIET DER ERFINDUNG
  • Die vorliegende Erfindung bezieht sich allgemein auf das Gebiet der Fertigung von Halbleitereinheiten und bezieht sich im Besonderen auf eine Struktur einer lokalen Zwischenverbindung mit einem niedrigen Profil sowie auf ein Verfahren zum Herstellen derselben.
  • HINTERGRUND DER ERFINDUNG
  • Ein Verfahren des Standes der Technik zum Bilden von Kontakten und/oder lokalen Zwischenverbindungen für Halbleitereinheiten, wie beispielsweise Feldeffekttransistoren, beinhaltet im Allgemeinen Schritte zum Abscheiden und anschließendem Planarisieren einer isolierenden Vor-Metall-Dielektrikum-Schicht (”PMD”-Schicht, Pre-Metal Dielectric layer) auf der Oberseite der Halbleitereinheiten; zum Bilden von einer oder von mehreren Masken für Kontakte und/oder lokale Zwischenverbindungen auf der Oberseite der PMD-Schicht, um ein Muster von Löchern oder Öffnungen im Inneren der PMD-Schicht zu erzeugen; und zum Damaszieren von leitfähigem Material in die Löcher oder Öffnungen in der PMD-Schicht hinein, um die Kontakte (CAs, contacts) und/oder die lokalen Zwischenverbindungen (LIs, Local Interconnects) zu bilden.
  • Für viele Generationen und insbesondere, da Abmessungen von Strukturen, die kontaktiert werden, unter 100 nm schrumpfen, wird eine Prozesssteuerung der vorstehenden Vorgehensweise des ”Ätzens eines Kontaktlochs” zunehmend schwierig und problematisch. Es wurden zum Beispiel Prozessprobleme beobachtet in Bezug auf: 1) eine Erosion von Dünnschichten von Gate-Seitenwänden und/oder von Abstandshaltern, die normalerweise aus Nitrid hergestellt sind; und 2) eine Erosion von Substrat/Silicid an dem Boden von CA/LI-Löchern. Dünnschichten von Gate-Seitenwänden werden dazu verwendet, das Gate vor einem Kurzschließen mit dem CA/LI zu schützen, und so kann eine Erosion dieser Dünnschichten die Ausbeute an Einheiten verringern und den Leckstrom erhöhen, wenn Bildabmessung und -überlagerung so zusammenwirken, dass der CA/die LI mit dem Gate überlappen. In ähnlicher Weise kann ebenso eine Erosion von Substrat/Silicid eine Leckage verursachen. Da eine Geschwindigkeit des flächendeckenden Ätzens, die in dem Prozess verwendet wird, im Allgemeinen sehr hoch ist, ist es darüber hinaus sehr schwierig, in sehr kleinen Zwischenräumen eine Erosion von Null bis verschwindend klein zu erreichen. Während eines lithographischen Prozesses müssen Elemente gedruckt werden, die größer als ihre gewünschten endgültigen Abmessungen sind, um eine Bildqualität zu garantieren, und im Anschluss müssen die gedruckten Elemente während Ätzprozessen geschrumpft werden. Ein Steuern dieses Schrumpfprozesses wird zu einer bedeutenden Herausforderung für zunehmend kleine Löcher mit einer Variabilität über einen größeren Teilbereich der Gesamtbildabmessung. Es ist schwierig, die vorstehenden Probleme durch eine Steuerung der Elementabmessung/der Anordnung zu vermeiden.
  • Eine Vorgehensweise, um die vorstehenden Probleme anzugehen, besteht darin, unterhalb der PMD-Schicht eine Ätzstoppschicht hinzuzufügen. Zu diesem Zweck wurden ursprünglich Überzüge verwendet, die aus Nitrid hergestellt waren. Die erforderliche Dicke des Nitrids füllt jedoch rasch den Zwischenraum von Gate zu Gate bei Abmessungen, die vergleichbar jenen sind, die für Netzknoten von 22 nm und jenseits davon üblich sind. Als ein Ergebnis ist häufig ein vermehrtes Überätzen von Nitrid als Teil des Prozesses zur Bildung des CA/der LI erforderlich. Weitere Vorgehensweisen, um die vorstehenden Probleme anzugehen, können eine Verwendung weiterer Typen von Ätzstoppschichten beinhalten, wie beispielsweise HfSiOx, das eine von Nitrid verschiedene Eigenschaft aufweist. Die Verwendung von HfSiOx kann jedoch eine unerwünschte Kapazität (da die Dielektrizitätskonstante von HfSiOx sehr hoch ist) zusätzlich dazu zu der Einheit hinzufügen, dass noch zu zeigen ist, dass der Prozess zum Entfernen des HfSiOx nach dem PMD-Ätzvorgang zuverlässig ist.
  • Außerdem erzeugen herkömmliche Vorgehensweisen im Allgemeinen eine Zwischenverbindungsstruktur, die eine Höhe aufweist, die mindestens gleich der Höhe des Gate-Stapels des Feldeffekttransistors ist. Um den Metallkontakt der nächsten Ebene zu bilden, der üblicherweise als M1-Metallleitung bekannt ist, ist im Allgemeinen eine dielektrische isolierende Schicht dazwischen erforderlich, um einen adäquaten Zwischenraum zwischen der M1-Metallleitung und der Zwischenverbindungsstruktur darunter aufrecht zu erhalten, um eine Kurzschlussbildung zu vermeiden.
  • KURZDARSTELLUNG VON AUSFÜHRUNGSFORMEN DER ERFINDUNG
  • Ausführungsformen der vorliegenden Erfindung stellen eine Struktur bereit, die zum Beispiel eine Halbleiterstruktur sein kann. Die Struktur beinhaltet eine Mehrzahl von Feldeffekttransistoren mit Gate-Stapeln, die auf der Oberseite eines Halbleitersubstrats ausgebildet sind, wobei die Gate-Stapel Abstandshalter aufweisen, die an Seitenwänden derselben ausgebildet sind; sowie einen oder mehrere leitfähige Kontakte, die direkt auf der Oberseite des Halbleitersubstrats ausgebildet sind und wenigstens eine Source/einen Drain von einem der Mehrzahl von Feldeffekttransistoren mit wenigstens einer Source/einem Drain eines weiteren der Mehrzahl von Feldeffekttransistoren zu verbinden, wobei der eine oder die mehreren leitfähigen Kontakte Teil einer lokalen Zwischenverbindung mit einem niedrigen Profil (LPLI, Low-Profile Local Interconnect) sind, wobei die LPLI eine Höhe aufweist, die geringer als eine Höhe der Gate-Stapel ist.
  • In einem Aspekt der Erfindung sind der eine oder die mehreren leitfähigen Kontakte der LPLI direkt angrenzend an die Abstandshalter der Gate-Stapel ausgebildet.
  • In einer Ausführungsform kann die Struktur des Weiteren einen oder mehrere Durchkontaktierungen beinhalten, der/die auf der Oberseite des einen oder der mehreren leitfähigen Kontakte ausgebildet ist/sind und direkt benachbart zu den Abstandshaltern der Gate-Stapel ist/sind.
  • In einem Aspekt der Erfindung sind der eine oder die mehreren Durchkontaktierungen aus einem gleichen Material wie jenem des einen oder der mehreren leitfähigen Kontakte hergestellt und weisen eine gleiche Höhe wie die Höhe der Gate-Stapel auf.
  • In einer weiteren Ausführungsform, wobei die Gate-Stapel eine Deckschicht auf der Oberseite derselben beinhalten, beinhaltet die Struktur des Weiteren eine Leitung eines leitfähigen Pfades, die direkt über, jedoch nicht in Kontakt mit dem einen oder den mehreren leitfähigen Kontakten der LPLI ausgebildet ist, wobei die Leitung des leitfähigen Pfades auf der Oberseite von und in Kontakt mit der Deckschicht von wenigstens einem der Gate-Stapel ausgebildet ist.
  • In einem Aspekt der Erfindung ist die Leitung des leitfähigen Pfades von dem einen oder den mehreren leitfähigen Kontakten unterhalb derselben mittels einer Schicht aus einem dielektrischen Material isoliert.
  • In einem weiteren Aspekt der Erfindung ist die Leitung des leitfähigen Pfades in Kontakt mit wenigstens einem von dem einen oder den mehreren Durchkontaktierungen und ist mit wenigstens einem von dem einen oder den mehreren leitfähigen Kontakten durch den einen oder die mehreren Durchkontaktierungen elektrisch verbunden.
  • In noch einem weiteren Aspekt der Erfindung ist die Leitung des leitfähigen Pfades in Kontakt mit wenigstens einem von den Gate-Stapeln durch eine Öffnung, die in der Deckschicht auf der Oberseite desselben ausgebildet ist, wobei die Öffnung mit einem leitfähigen Material gefüllt ist.
  • KURZBESCHREIBUNG DER ZEICHNUNGEN
  • Die vorliegende Erfindung wird aus der folgenden detaillierten Beschreibung bevorzugter Ausführungsformen in Verbindung mit den begleitenden Zeichnungen vollständiger verständlich und ersichtlich, in denen:
  • 1 eine anschauliche Darstellung einer Draufsicht und einer Querschnittansicht einer Halbleiterstruktur während eines Prozesses zum Herstellen derselben gemäß einer Ausführungsform der vorliegenden Erfindung ist;
  • 2 eine anschauliche Darstellung einer Draufsicht und einer Querschnittansicht einer Halbleiterstruktur während eines Prozesses zum Herstellen derselben im Anschluss an den in 1 gezeigten Schritt gemäß einer Ausführungsform der vorliegenden Erfindung ist;
  • 3 eine anschauliche Darstellung einer Draufsicht und einer Querschnittansicht einer Halbleiterstruktur während eines Prozesses zum Herstellen derselben im Anschluss an den in 2 gezeigten Schritt gemäß einer Ausführungsform der vorliegenden Erfindung ist;
  • 4 eine anschauliche Darstellung einer Draufsicht und einer Querschnittansicht einer Halbleiterstruktur während eines Prozesses zum Herstellen derselben im Anschluss an den in 3 gezeigten Schritt gemäß einer Ausführungsform der vorliegenden Erfindung ist;
  • 5 eine anschauliche Darstellung einer Draufsicht und einer Querschnittansicht einer Halbleiterstruktur während eines Prozesses zum Herstellen derselben im Anschluss an den in 4 gezeigten Schritt gemäß einer Ausführungsform der vorliegenden Erfindung ist;
  • 6 eine anschauliche Darstellung einer Draufsicht und einer Querschnittansicht einer Halbleiterstruktur während eines Prozesses zum Herstellen derselben im Anschluss an den in 5 gezeigten Schritt gemäß einer Ausführungsform der vorliegenden Erfindung ist;
  • 7 eine anschauliche Darstellung einer Draufsicht und einer Querschnittansicht einer Halbleiterstruktur während eines Prozesses zum Herstellen derselben im Anschluss an den in 6 gezeigten Schritt gemäß einer Ausführungsform der vorliegenden Erfindung ist;
  • 8 eine anschauliche Darstellung einer Draufsicht und einer Querschnittansicht einer Halbleiterstruktur während eines Prozesses zum Herstellen derselben im Anschluss an den in 7 gezeigten Schritt gemäß einer Ausführungsform der vorliegenden Erfindung ist; und
  • 9 eine anschauliche Darstellung einer Draufsicht und einer Querschnittansicht einer Halbleiterstruktur während eines Prozesses zum Herstellen derselben im Anschluss an den in 7 gezeigten Schritt gemäß einer Ausführungsform der vorliegenden Erfindung ist.
  • Es ist ersichtlich, dass Elemente in den Zeichnungen zum Zweck der Einfachheit und Klarheit der Darstellung nicht notwendigerweise maßstabsgetreu gezeichnet wurden. Die Abmessungen von einigen der Elemente können zum Beispiel zwecks Klarheit übertrieben relativ zu jenen von anderen Elementen dargestellt sein.
  • DETAILLIERTE BESCHREIBUNG DER ERFINDUNG
  • In der folgenden detaillierten Beschreibung sind zahlreiche spezifische Details dargelegt, um ein umfassendes Verständnis verschiedener Ausführungsformen der Erfindung bereitzustellen. Es versteht sich jedoch, dass Ausführungsformen der Erfindung ohne diese spezifischen Details ausgeführt werden können.
  • In dem Interesse, die Darstellung von Kernpunkten und/oder Ausführungsformen der Erfindung nicht unklar zu machen, wurden einige Prozessschritte und/oder Operationen, die auf dem Fachgebiet bekannt sind, in der folgenden detaillierten Beschreibung zum Zwecke der Präsentation und/oder der Darstellung möglicherweise miteinander kombiniert und wurden in einigen Fällen möglicherweise nicht detailliert beschrieben. In anderen Fällen werden einige Prozessschritte und/oder Operationen, die auf dem Fachgebiet bekannt sind, möglicherweise überhaupt nicht beschrieben. Darüber hinaus wurden einige allgemein bekannte Techniken zum Bearbeiten einer Einheit möglicherweise nicht detailliert beschrieben, und in einigen Fällen kann auf andere veröffentlichte Artikel, Patente und/oder veröffentlichte Patentanmeldungen zur Referenz Bezug genommen werden, um die Beschreibung eines Kernpunkts und/oder von Ausführungsformen der Erfindung nicht unklar zu machen. Es versteht sich, dass die folgenden Beschreibungen den Fokus möglicherweise ziemlich auf bezeichnende Merkmale und/oder Elemente verschiedener Ausführungsformen der Erfindung gerichtet haben.
  • 1 ist eine anschauliche Darstellung einer Draufsicht und einer Querschnittansicht einer Halbleiterstruktur während eines Prozesses zum Herstellen derselben gemäß einer Ausführungsform der vorliegenden Erfindung. Für ein besseres Verständnis und ein weitergehendes Begreifen beinhaltet 1 außerdem eine perspektivische Ansicht der Halbleiterstruktur, wenngleich in der nachstehenden detaillierten Beschreibung lediglich auf die Draufsicht und die Querschnittansicht der Struktur Bezug genommen wird. Zu dem gleichen angestrebten Zweck können auch weitere Figuren in dieser Anmeldung perspektivische Ansichten der Halbleiterstruktur in verschiedenen Herstellungsstadien beinhalten, wo auch immer und wann auch immer es zweckmäßig und/oder notwendig ist.
  • Um eine Halbleiterstruktur 100 herzustellen, die zum Beispiel ein Halbleiterchip oder ein Wafer oder ein Teil desselben sein kann, stellt eine Ausführungsform der vorliegenden Erfindung ein Herstellungsverfahren bereit, das mit einem Halbleitersubstrat 101 beginnt, wie in 1 gezeigt. Das Verfahren kann ein Bilden von einer oder von mehreren aktiven Inseln 102 aus Silicium in dem Halbleitersubstrat 101 beinhalten. Die aktiven Inseln 102 aus Silicium können als Gebiete gebildet und/oder ausgewiesen sein, auf denen ein oder mehrere Feldeffekttransistoren (FETs) aufgebaut werden können. Die aktiven Inseln 102 aus Silicium beinhalten im Allgemeinen einen Kanalbereich sowie einen Source-Bereich und einen Drain-Bereich, die benachbart zu dem Kanalbereich sind. Die aktiven Inseln 102 aus Silicium werden so gebildet, dass sie von dem Rest des Substrats 101 mittels eines oder mehrerer flacher Grabenisolations-Bereiche 103 (STI-Bereiche, Shallow-Trench-Isolation regions) isoliert sind.
  • Eine Ausführungsform der vorliegenden Erfindung kann des Weiteren ein Bilden von einer oder von mehreren Gate-Elektroden, die im Folgenden kollektiv als Gate-Elektroden-Leitungen 104 bezeichnet werden können, über den Kanalbereichen in den aktiven Inseln 102 aus Silicium beinhalten, wobei im Allgemeinen eine Gate-Dielektrikum-Schicht (nicht gezeigt) zwischen den Gate-Elektroden-Leitungen 104 und den aktiven Inseln 102 aus Silicium angeordnet ist. Die Gate-Dielektrikum-Schicht kann aus Siliciumdioxid (SiO2), Hafniumoxid (HfO), Hafniumsiliciumnitridoxid (HfSiOxNy) oder irgendeinem anderen geeigneten Material für ein Gate-Dielektrikum bestehen oder hergestellt sein. Andererseits können die Gate-Elektroden-Leitungen 104 aus leitfähigen Materialen hergestellt sein, wie zum Beispiel verschiedenen Metallen, und können mit einer Deckschicht 105 bedeckt sein. Die Deckschicht 105 kann aus einem dielektrischen Material, wie zum Beispiel Siliciumnitrid (Si3N4), oder einem anderen geeigneten isolierenden Material hergestellt sein und kann gemäß einer Ausführungsform sorgfältig so ausgewählt sein, dass sie für eine Verwendung als eine Polierstoppschicht in einem späteren Herstellungsstadium geeignet ist, wie nachstehend unter Bezugnahme auf 3 detaillierter erörtert. In einer Ausführungsform kann die Deckschicht 105, um die Selektivität während eines Prozesses zur Entfernung eines Abstandshalters zu erhöhen, wie es einige Situationen erfordern können, vorzugsweise aus einem sowohl chemisch als auch thermisch stabilen Material hergestellt sein, wie zum Beispiel Siliciumcarbid SiC oder Siliciumkohlenstoffnitrid SiCN, das Bedingungen eines üblichen Prozesses zur Entfernung eines Abstandshalters aus Nitrid standhalten kann.
  • Wie in 1 dargestellt wird, kann die Halbleiterstruktur 100 eine oder mehrere Gruppen von FETs beinhalten, wie eine FET-Gruppe 100a, 100b und 100c. Jede FET-Gruppe kann eine Gate-Elektroden-Leitung 104 und eine Deckschicht 105 auf einer Oberseite derselben beinhalten. Eine Gate-Elektroden-Leitung 104 und eine Deckschicht 105 auf einer Oberseite derselben können im Folgenden kollektiv als ein Gate-Stapel 106 bezeichnet werden.
  • 2 ist eine anschauliche Darstellung einer Draufsicht und einer Querschnittansicht der Halbleiterstruktur 100 während eines Prozesses zum Herstellen derselben im Anschluss an den in 1 gezeigten Schritt gemäß einer Ausführungsform der vorliegenden Erfindung. Im Anschluss an die Bildung der Gate-Stapel 106, wie in 1 gezeigt, können unter Verwendung von irgendwelchen normalen und/oder üblichen Front-End-of-Line(FEOL)-Technologien, wie auf dem Fachgebiet bekannt, Gate-Abstandshalter 201 benachbart zu Seitenwänden der Gate-Stapel 106 gebildet werden. Ein Abstandshalter 201 kann ein Abstandshalter aus einer einzelnen Schicht oder ein Abstandshalter aus mehreren Schichten sein, der verschiedene Schichten aus dem gleichen Material oder aus unterschiedlichen Materialien aufweist. Die Abstandshalter 201 können zum Beispiel aus einer Schicht (aus Schichten) aus Nitrid- und/oder Oxid-Materialien hergestellt sein. Als ein Ergebnis kann die Gate-Elektrode 104 mittels der dielektrischen Deckschicht 105 an der Oberseite und den isolierenden Abstandshaltern 201 an den Seitenwänden derselben verkapselt sein. Separat und/oder nachfolgend können die Source- und Drain-Bereiche der FET-Gruppen 100a, 100b und 100c einem Silicidierungsprozess unterworfen werden, der Silicide 202, wie beispielsweise Nickelsilicid, an einer Oberseite von wenigstens einem Teil der Inseln 102 aus Silicium bildet. Die Silicide 202 verbessern die Leitfähigkeit von Source und Drain der FET-Gruppen 100a, 100b und 100c und werden im Allgemeinen dazu verwendet, elektrische Kontakte darauf zu bilden.
  • Nach dem Bilden der Gate-Stapel 106 und der umgebenden Gate-Abstandshalter 201 wie in 2 wird herkömmlicherweise eine isolierende Schicht aus einem Vor-Metall-Dielektrikum(”PMD”)-Material auf der Oberseite des Substrats 101 abgeschieden, um die FET-Gruppen oder -Einheiten 100a, 100b und 100c zu bedecken; im Inneren der PMD-Schicht werden Kontaktlöcher oder Öffnungen für lokale Zwischenverbindungen erzeugt; und nachfolgend werden die Löcher oder Öffnungen mit Metall oder leitfähigen Materialien gefüllt, um Kontakte oder lokale Zwischenverbindungen zu bilden. Gemäß Ausführungsformen der vorliegenden Erfindung kann jedoch anstelle des Bildens einer isolierenden PMD-Schicht in diesem Stadium eine flächendeckende leitfähige Schicht auf der Oberseite des Substrats 101 und den FET-Einheiten 100a, 100b und 100c abgeschieden werden, wobei die flächendeckende leitfähige Schicht ein geeignetes Material oder Schichten aus geeigneten Materialien für lokale Zwischenverbindungen enthält.
  • Eine Ausführungsform der vorliegenden Erfindung kann auf FET-Einheiten angewendet werden, die durch andere existierende oder in der Zukunft entwickelte Prozesse und/oder Technologien gebildet werden, wie sie zum Beispiel auf FET-Einheiten angewendet werden kann, die durch einen Prozess mit Ersatz-Metall-Gate (RMG-Prozess, Replacement-Metal-Gate process) hergestellt werden. Unter der Annahme, dass 2 auch FET-Einheiten anschaulich darstellt, die mittels eines RMG-Prozesses hergestellt werden, kann die Elektrodenleitung 104 der FET-Einheiten 100a, 100b und 100c ein Ersatz-Metall-Gate sein, das einen oder mehrere die Austrittsarbeit einstellende Metall-Überzüge oder -Dünnschichten beinhalten kann, die daher direkt Abstandshalter 201 und eine Oberseite des Kanalbereichs unterhalb des Gates überziehen. Das Ersatz-Metall-Gate 104 kann mittels einer dielektrischen Deckschicht 105 bedeckt werden, nachdem eine dielektrische Schicht entfernt ist, welche die Gate-Stapel 106 und die Abstandshalter 201 während des Prozesses zum Bilden von Ersatz-Metall-Gates normalerweise umgibt, wie in einem RMG-Prozess bekannt ist.
  • 3 ist eine anschauliche Darstellung einer Draufsicht und einer Querschnittansicht der Halbleiterstruktur 100 während eines Prozesses zum Herstellen derselben im Anschluss an den in 2 gezeigten Schritt gemäß einer Ausführungsform der vorliegenden Erfindung. Spezieller kann eine Ausführungsform der vorliegenden Erfindung ein Abscheiden einer flächendeckenden Schicht aus einem leitfähigen Material 301 beinhalten, welche die meisten Gebiete der Halbleiterstruktur 100 bedeckt, die das Substrat 101 und die FET-Einheiten 100a, 100b und 100c beinhaltet. Die flächendeckende leitfähige Schicht 301 kann zum Beispiel wenigstens Gebiete bedecken, in denen Kontakte und lokale Zwischenverbindungen zu bilden sind. In einer Ausführungsform kann die flächendeckende leitfähige Schicht 301 eine Dicke derart aufweisen, dass sie nicht nur das Substrat 101 sondern auch die Deckschicht 105 bedeckt, die sich auf der Oberseite der Gate-Elektroden-Leitung 104 befindet. Mit anderen Worten kann die gesamte Oberseite der Halbleiterstruktur 100 von der flächendeckenden leitfähigen Schicht 301 bedeckt sein.
  • Das Material für die leitfähige Schicht 301 kann zum Beispiel Aluminium, Nickel, Kupfer, Wolfram oder eine Kombination derselben in einer Mehrschichtform beinhalten. Die leitfähige Schicht 301 kann zum Beispiel aus einer Schicht aus Wolfram (W) auf der Oberseite einer dünnen Aluminium(Al)-Schicht hergestellt sein, wobei die Al-Schicht die Struktur darunter überzieht. Eine Struktur von einer derartigen Mehrschichtform kann einen selektiven Ätzprozess dieser leitfähigen Schicht 301 erleichtern, wie nachstehend detaillierter unter Bezugnahme auf 4 beschrieben wird. In Abhängigkeit von dem Typ des Materials, der für die leitfähige Schicht 301 verwendet wird, kann eine Abscheidung der leitfähigen Schicht 301 durch zum Beispiel einen Plattierungsprozess, einen chemischen Gasphasenabscheidungsprozess, einen Sputterprozess, irgendwelche anderen existierenden oder in der Zukunft entwickelten Prozesse und/oder eine Kombination derselben durchgeführt werden.
  • Im Anschluss an die Bildung der flächendeckenden leitfähigen Schicht 301 kann eine Ausführungsform der vorliegenden Erfindung ein Polieren der leitfähigen Schicht 301 durch zum Beispiel einen chemisch-mechanischen Polier(CMP)-Prozess beinhalten, um eine flache Oberseite 302 herzustellen oder zu erzeugen. Während des CMP-Prozesses können die Deckschichten 105, die aus Siliciumnitrid hergestellt sein können, wie zum Beispiel vorstehend beschrieben wurde, und eine Poliercharakteristik aufweisen können, die sich ausreichend von jener der leitfähigen Schicht 301 unterscheidet, als eine Polierstoppschicht dienen, so dass der CMP-Prozess stoppt, wenn die Deckschichten 105 auf der Oberseite der Gate-Elektroden-Leitungen 104 freigelegt sind. Vorzugsweise werden alle die isolierenden Deckschichten 105 auf der Oberseite der Gate-Elektroden-Leitungen 104 durch den CMP-Prozess freigelegt, und wenn dies sichergestellt wird, kann ein gewisser Grad an Erosion der isolierenden Deckschichten 105 auftreten, eine derartige Erosion ist jedoch bis zu einem bestimmten Ausmaß akzeptabel.
  • 4 ist eine anschauliche Darstellung einer Draufsicht und einer Querschnittansicht der Halbleiterstruktur 100 während eines Prozesses zum Herstellen derselben im Anschluss an den in 3 gezeigten Schritt gemäß einer Ausführungsform der vorliegenden Erfindung. Sobald zum Beispiel alle die isolierenden Deckschichten 105 freigelegt sind, die nunmehr von der leitfähigen Schicht 301 aus dem Material für die lokale Zwischenverbindung umgeben sind, kann oben auf der Oberfläche 302 ein Photoresistmuster 401 gebildet werden, das die Formen von Kontakten und/oder lokalen Zwischenverbindungen repräsentiert, die zu bilden sind. Gebiete, in denen keine Kontakte und lokalen Zwischenverbindungen erwartet werden, sind von dem Photoresistmuster 401 nicht bedeckt und bleiben freigelegt.
  • Zum Beispiel kann das Photoresistmuster 401 als ein nicht beschränkendes Beispiel eine Resistform 401b für eine lokale Zwischenverbindung ohne Grenze und eine Resistform 401a für eine teilweise umgrenzte lokale Zwischenverbindung beinhalten. Wird das Photoresistmuster 401 (das sowohl 401a als auch 401b beinhaltet) als eine schützende Maske verwendet, kann ein freigelegter Anteil der leitfähigen Schicht 301 weggeätzt werden. Ein Ätzen der leitfähigen Schicht 301 wird vorzugsweise durch einen reaktiven Ionenätzprozess (RIE) oder irgendeine andere geeignete Technik durchgeführt, die selektiv gegenüber dem Silicid 202 ist (2), wodurch eine minimale Schädigung dahingehend verursacht wird, dass die Leitfähigkeit von Source- und Drain-Bereichen der FET-Einheiten 100a, 100b und 100c beeinflusst wird. Zum Beispiel kann eine Kombination von Wolfram (W) und Aluminium (Al) als leitfähige Schicht 301 verwendet werden. Während Wolfram (W) im Allgemeinen wegen eines geringen Widerstands als Material für Kontakte oder lokale Zwischenverbindungen bevorzugt sein kann, kann eine Schicht aus Aluminium (Al) unterhalb des Wolframs (W) die Ätzselektivität in Bezug auf das Silicid 202 erhöhen, wodurch eine potentielle Schädigung an dem Silicid 202 aufgrund eines Überätzens, wenn Wolfram direkt selektiv gegenüber dem Silicid 202 geätzt wird, verringert wird. Währenddessen kann eine dünne Aluminiumschicht verwendet werden, um eine Zunahme des Widerstands der lokalen Zwischenverbindung zu minimieren.
  • In einer Ausführungsform kann der Ätzprozess der leitfähigen Schicht 301 durch zum Beispiel eine sorgfältige Auswahl und Einstellung von angewendeten Chemikalien derart, dass sie anisotrop sind, durchgeführt oder ausgelegt werden, wodurch Neigungen erzeugt werden, die innerhalb eines akzeptablen Bereichs liegen. Außerdem kann auch der Abstand zwischen lokalen Zwischenverbindungen und Gate-Elektroden-Leitungen geeignet ausgelegt werden, indem zum Beispiel ein Abstand zwischen der Photoresistform 401a und der Deckschicht 105 der mittleren FET-Gruppe 100b für den in 4 gezeigten Fall eingestellt wird. In anderen Fällen können einige lokale Zwischenverbindungen, zum Beispiel die durch die Resistform 401b repräsentierte lokale Zwischenverbindung, mehrere Inseln aus Silicium kreuzen und auf der Oberseite von Isolatoren gebildet werden, wie beispielsweise STI 103.
  • 5 ist eine anschauliche Darstellung einer Draufsicht und einer Querschnittansicht der Halbleiterstruktur 100 während eines Prozesses zum Herstellen derselben im Anschluss an den in 4 gezeigten Schritt gemäß einer Ausführungsform der vorliegenden Erfindung. Nach dem Wegätzen der freigelegten und ungeschützten leitfähigen Schicht 301 durch zum Beispiel einen RIE-Prozess kann das Photoresistmuster 401 unter Verwendung irgendeines allgemein bekannten Lösungsmittels abgehoben oder entfernt werden, wodurch die lokalen Zwischenverbindungsstrukturen 501a und 501b darunter freigelegt werden, die in der vorliegenden Anmeldung als eine lokale ”Bulk”-Zwischenverbindung (BLI) bezeichnet werden kann. Eine typische Eigenschaft der lokalen Bulk-Zwischenverbindungen 501a und 501b besteht darin, dass sie eine Höhe aufweisen, welche die gleiche wie jene des Gate-Stapels 106 ist.
  • Des Weiteren können die lokalen Bulk-Zwischenverbindungen 501b, die mittels des Resistmusters 401b gebildet wurden, BLIs ohne Grenze sein, die keine Grenze zu dem Gate-Stapel 106 aufweisen, während die lokale Bulk-Zwischenverbindung 501a, die mittels des Resistmusters 401a gebildet wurde, eine BLI teilweise ohne Grenze sein kann, die eine Grenze zu dem Gate-Stapel 106 in dem mittleren FET aufweist, der ein Teil der FET-Gruppe 100b ist. Anders als bei irgendeiner herkömmlichen Weise zum Bilden einer lokalen Zwischenverbindung, bei der Kontaktlöcher genau zu den FET-Einheiten darunter ausgerichtet sein müssen, sind die lokalen Bulk-Zwischenverbindungen 501a und 501b, die gemäß einer Ausführungsform der vorliegenden Erfindung gebildet wurden, wie vorstehend beschrieben wurde, zu den Gate-Elektroden oder den Elektroden-Leitungen der FET-Einheiten darunter selbstausgerichtet, da sie direkt auf den Einheiten abgeschieden werden, wobei Material auf der Oberseite der Gate-Elektrode über einen Planarisierungsprozess entfernt wird.
  • 6 ist eine anschauliche Darstellung einer Draufsicht und einer Querschnittansicht der Halbleiterstruktur 100 während eines Prozesses zum Herstellen derselben im Anschluss an den in 5 gezeigten Schritt gemäß einer Ausführungsform der vorliegenden Erfindung. Nach dem Bilden der lokalen Bulk-Zwischenverbindungen 501a und 501b durch Entfernen der ungeschützten leitfähigen Schicht 301 kann eine Ausführungsform der vorliegenden Erfindung ein selektives Verringern der Höhe von irgendeinem Anteil oder irgendwelchen Anteilen der lokalen Bulk-Zwischenverbindungen 501a und 501b beinhalten, um einen Satz von lokalen Zwischenverbindungen mit einem niedrigen Profil (LPLI, Low-Profile Local Interconnects) zu bilden. Zum Beispiel kann die Höhe eines ersten Anteils der lokalen Bulk-Zwischenverbindung 501b rechts von der FET-Gruppe 100c, wie in 6 dargestellt ist, verringert werden, um einen U-förmigen leitfähigen Kontakt zu erzeugen, der ein Teil einer lokalen Zwischenverbindungsstruktur 601 mit einem niedrigen Profil sein kann. Der U-förmige leitfähige Kontakt weist eine Höhe auf, die geringer als jene der Deckschicht 105 ist, die sich an der Oberseite der FET-Gruppe 100c befindet. Des Weiteren kann zum Beispiel die Höhe eines zweiten Anteils der lokalen Bulk-Zwischenverbindung 501b zwischen den FET-Gruppen 100b und 100c, wie in 6 dargestellt ist, verringert werden, um einen weiteren leitfähigen Kontakt zu erzeugen, der ein Teil einer weiteren lokalen Zwischenverbindungsstruktur 602 mit einem niedrigen Profil sein kann. Manchmal können die lokalen Zwischenverbindungen 601 und 602 mit einem niedrigen Profil kollektiv als eine einzelne LPLI bezeichnet werden. Die Bildung der lokalen Zwischenverbindungen 601 und 602 mit einem niedrigen Profil spart wertvolle Nutzbereiche in einer vertikalen Richtung ein, was es möglich macht, eine Leitung eines leitfähigen Pfades auf einer Metallebene-1 (M1) in einer Position zu bilden, die niedriger als jene in einer herkömmlichen Struktur ist, wie nachstehend detaillierter beschrieben wird.
  • Das Verringern der Höhe von Anteilen der lokalen Bulk-Zwischenverbindungen 501a und/oder 501b kann erreicht werden, indem die lokalen Bulk-Zwischenverbindungen 501a und/oder 501b zum Beispiel einem lithographischen Strukturierungs- und einem selektiven Ätzprozess unter vielen weiteren Techniken unterworfen werden. Gemäß einer Ausführungsform kann zum Beispiel eine Opferschicht durch eine Abscheidung um die lokalen Bulk-Zwischenverbindungen 501a und 501b herum gebildet werden, um eine Oberfläche zu erzeugen, die koplanar mit den lokalen Bulk-Zwischenverbindungen 501a und 501b ist. Anschließend kann ein üblicher photolithographischer Strukturierungsprozess angewendet werden, um ein Photoresistmuster der LPLI zu bilden. Nach einem Entfernen des belichteten Photoresists in dem Gebiet des LPLI-Musters kann ein selektives Ätzen, wie beispielsweise ein RIE-Prozess, angewendet werden, um einen oberen Anteil der ungeschützten leitfähigen Schicht 301 zu entfernen, der ein Teil der lokalen Bulk-Zwischenverbindungen 501a und/oder 501b ist, wodurch das LPLI-Muster gebildet wird. Die LPLI wird ohne Grenze direkt angrenzend an die Abstandshalter 201 des Gate-Stapels 106 gebildet.
  • Gemäß einer weiteren Ausführungsform kann die Bildung der lokalen Zwischenverbindungen 601 und 602 mit einem niedrigen Profil gleichzeitig in dem Stadium durchgeführt werden, wenn die lokalen Bulk-Zwischenverbindungen 501a und 501b gebildet werden. Nachdem die ungeschützte leitfähige Schicht 301 während des Schritts zum Bilden der lokalen Bulk-Zwischenverbindungen 501a und 501b teilweise weggeätzt wurde, kann die Photoresistmaske 401 zum Beispiel durch eine neue Photoresistmaske ersetzt werden, welche die neue Form der lokalen Zwischenverbindungen 601 und 602 mit einem niedrigen Profil repräsentieren kann, was bewirkt, dass ein Teil der zuvor geschützten leitfähigen Schicht 301 (unter der Photoresistmaske 401) gleichzeitig mit dem Rest der leitfähigen Schicht 301 geätzt wird, die bisher geätzt wurde. Die LPLI 601 und 602 können mit dem verbleibenden leitfähigen Material der Schicht 301 gebildet werden, wenn Gebiete weggeätzt werden, die anfänglich nicht durch die Photoresistmaske 401 geschützt sind.
  • Für einen Fachmann ist ersichtlich, dass weitere alternative Verfahren und/oder Vorgehensweisen verwendet werden können, um verschiedene LPLI-Strukturen, wie jene 601 und 602, die in 6 dargestellt sind, ohne eine Abweichung von dem Inhalt der vorliegenden Erfindung zu erreichen.
  • Hierbei ist anzumerken, dass eine LPLI-Struktur nicht auf lediglich jene Anteile der BLI beschränkt ist, deren Höhe verringert wurde. Einige Anteile einer BLI-Struktur, die an eine in der Höhe verringerte LPLI-Struktur angrenzen, können in Wirklichkeit einen Anteil (Anteile) einer LPLI beinhalten. Zum Beispiel kann eine BLI-Struktur (oder ein Anteil derselben) so betrachtet werden, dass sie einen Durchkontakt (einen oberen Anteil der BLI) beinhaltet, der so gebildet ist, dass er sich in Kontakt mit einer LPLI (einem unteren Anteil der BLI) unterhalb desselben befindet. Spezieller kann der Anteil der BLI 501b rechts von der FET-Gruppe 100c und benachbart zu der LPLI 601, deren Höhe nicht verringert wurde, als ein Beispiel, das in 6 dargestellt ist, in Wirklichkeit so betrachtet werden, dass er einen Durchkontakt (einen oberen Anteil der BLI) beinhaltet, der auf der Oberseite eines Anteils (eines unteren Anteils der BLI) der LPLI 601 ausgebildet ist. Mit anderen Worten kann die LPLI 601 so betrachtet werden, dass sie einen unteren Anteil des Anteils der BLI 501b beinhaltet, wie vorstehend beschrieben. Die oberen Anteile der lokalen Bulk-Zwischenverbindungen 501a und 501b, die während der Bildung der LPLI nicht weggeätzt werden, können in Wirklichkeit ein Durchkontakt oder Durchkontaktierungen sein oder als ein Durchkontakt oder als Durchkontaktierungen dienen und können als V0 bezeichnet werden. Offensichtlich sind der Durchkontakt und der Anteil der LPLI unterhalb des Durchkontakts, wie in 6 gezeigt, aus dem gleichen leitfähigen Material gebildet und sind in Wirklichkeit integral integriert. Der Durchkontakt oder die Durchkontaktierungen sind ohne Grenze zu den FET-Gruppen gebildet, um direkt benachbart zu den Abstandshaltern 201 der Gate-Stapel 106 der FET-Gruppen zu sein.
  • 7 ist eine anschauliche Darstellung einer Draufsicht und einer Querschnittansicht der Halbleiterstruktur 100 während eines Prozesses zum Herstellen derselben im Anschluss an den in 6 gezeigten Schritt gemäß einer Ausführungsform der vorliegenden Erfindung. Das Verfahren kann zum Beispiel ein Abscheiden einer Schicht aus einem isolierenden Material 701 beinhalten, wie zum Beispiel einem dielektrischen Material, um das Halbleitersubstrat 101 ebenso wie einige oder alle der bisher darauf gebildeten Strukturen und Einheiten zu bedecken, welche die lokalen Zwischenverbindungen 601 und 602 mit einem niedrigen Profil, die lokalen Bulk-Zwischenverbindungen (oder Durchkontaktierungen) 501a und 501b sowie die Deckschichten 105 beinhalten. In einer Ausführungsform und in einem nachfolgenden Schritt kann die Höhe der isolierenden Schicht 701 durch Polieren verringert werden, wobei die Deckschichten 105 wiederum strategisch als eine Polierstoppschicht verwendet werden. Die Höhe der isolierenden Schicht 701 kann zum Beispiel durch Polieren so verringert werden, dass sie eine Oberfläche 702 aufweist, die koplanar mit den Deckschichten 105 und koplanar mit der Oberseite der lokalen Bulk-Zwischenverbindungen (oder Durchkontaktierungen) 501a und 501b ist. In noch einer weiteren Ausführungsform kann die Höhe der isolierenden Schicht 701 höher als jene der Deckschicht gemacht werden, und die M1-Leitung des leitfähigen Pfades, die unter Bezugnahme auf 9 nachstehend detaillierter beschrieben ist, kann direkt im Inneren der isolierenden Schicht 701 gebildet werden, um den Durchkontakt 501a und/oder 501b sowie die Gate-Elektroden-Leitung 104 zu kontaktieren.
  • 8 ist eine anschauliche Darstellung einer Draufsicht und einer Querschnittansicht der Halbleiterstruktur 100 während eines Prozesses zum Herstellen derselben im Anschluss an den in 7 gezeigten Schritt gemäß einer Ausführungsform der vorliegenden Erfindung. Nachdem die isolierende Schicht 701 abgeschieden ist und koplanar mit dem Durchkontakt 501a und dem Durchkontakt 501b gemacht ist, kann zum Beispiel ein Anteil der Deckschicht 105 auf der Oberseite der FET-Gruppe 100a durch zum Beispiel einen selektiven Ätzprozess geöffnet werden, um die Gate-Elektroden-Leitung 104 darunter freizulegen. In einer weiteren Ausführungsform kann die Öffnung auf der Oberseite der Gate-Elektroden-Leitung 104 in einem vorherigen Schritt gebildet werden, wie zum Beispiel in einem Schritt, bevor die flächendeckende leitfähige Schicht 301 so gebildet wird, dass sie die meisten Gebiete der Halbleiterstruktur 100 bedeckt, die das Substrat 101 und die FET-Einheiten 100a, 100b und 100c beinhalten (3). In dieser Ausführungsform kann das leitfähige Material der deckenden Schicht 301 die Öffnung füllen, die nachfolgend als ein leitfähiger Stift dienen kann.
  • Wenn die Öffnung gebildet wird, nachdem die isolierende Schicht 701 abgeschieden ist und mit dem Durchkontakt 501a und dem Durchkontakt 501b koplanar gemacht ist, wird die Öffnung nachfolgend mit einem leitfähigen Material gefüllt, wie beispielsweise Kupfer (Cu) oder Aluminium (Al), um einen leitfähigen Stift 804 zu bilden (9), der die Gate-Elektroden-Leitung 104 darunter mit einer Leitung des leitfähigen Pfades auf der M1-Ebene, die danach gebildet wird, elektrisch verbindet. Es können zum Beispiel eine oder mehrere M1-Leitungen 801, 802 und 803 des leitfähigen Pfades gebildet werden. Spezieller kann die M1-Leitung 801 des leitfähigen Pfades so hergestellt werden, dass sie eine Verbindung mit der Gate-Elektrode 104 der FET-Gruppe 100a herstellt; die M1-Leitung 802 des leitfähigen Pfades kann so hergestellt werden, dass sie eine Verbindung mit der Source/dem Drain der FET-Gruppe 100a und 100b herstellt; und die M1-Leitung 803 des leitfähigen Pfades kann so hergestellt werden, dass sie eine Verbindung mit der Source/dem Drain der FET-Gruppe 100b und 100c herstellt, wie in 8 anschaulich als ein Beispiel dargestellt ist. Die Metallleitungen des Pfades oder die Leitungen 801, 802 und 803 des leitfähigen Pfades können so gebildet werden, dass sie sich direkt auf der Oberseite von und in Kontakt mit den Deckschichten 105 der FET-Gruppen 100a, 100b und 100c befinden, im Gegensatz zu dem, was normalerweise für eine Lücke oder einen bestimmten Abstand zwischen einer M1-Leitung des leitfähigen Pfades und der Oberseite der Deckschicht 105 der FETs erforderlich ist. Dies wird durch die Verwendung der lokalen Zwischenverbindung mit einem niedrigen Profil mit einer verringerten Höhe möglich gemacht.
  • Die Leitungen 801 bis 803 des leitfähigen Pfades, die in der nachstehenden Beschreibung auch als Metallleitungen bezeichnet werden können, sind von den leitfähigen Kontakten der LPLI 601 und/oder 602 darunter durch die Schicht aus einem dielektrischen Material 701 isoliert. Die M1-Metallleitung oder die Leitung 802 des leitfähigen Pfades wird in die Lage versetzt, eine Verbindung mit einem Durchkontakt zwischen der FET-Gruppe 100a und 100b herzustellen, ohne die lokale Zwischenverbindung 602 mit einem niedrigen Profil zwischen der FET-Gruppe 100b und 100c oder die LPLI 601 auf der rechten Seite der FET-Gruppe 100c zu kontaktieren. In 8 ist dargestellt, dass die M1-Metallleitung oder die Leitung 802 des leitfähigen Pfades mittels der dielektrischen Schicht 701 von der LPLI 601 getrennt ist. Des Weiteren ist die M1-Metallleitung oder die Leitung 803 des leitfähigen Pfades zum Beispiel in der Lage, auf einen Durchkontakt zwischen der FET-Gruppe 100b und 100c zuzugreifen, ohne auch die LPLI 601 zu kontaktieren.
  • In 8 ist anschaulich dargestellt, dass die Metallleitungen 801, 802 und 803 auf der Oberseite der dielektrischen Schicht 701 ausgebildet sind, was durch Anwenden von irgendwelchen existierenden oder in der Zukunft entwickelten Techniken erreicht werden kann. Alternativ können die Metallleitungen 801, 802 und 803 im Inneren der dielektrischen Schicht 701 mit irgendwelchen bekannten oder in der Zukunft entwickelten Damaszier-Techniken gebildet werden, welche die Verwendung von Photolithographie-, Ätz- und Abscheidungsprozessen beinhalten können. Wenn die Metallleitungen im Inneren der dielektrischen Schicht 701 gebildet werden, kann die dielektrische Schicht 701 in dem vorherigen Schritt so gebildet werden, dass sie eine Höhe aufweist, die höher als jene der Oberseite der Deckschicht 105 ist, wie in 9 anschaulich dargestellt ist.
  • 9 ist eine anschauliche Darstellung einer weiteren Draufsicht und Querschnittansicht einer Halbleiterstruktur während eines Prozesses zum Herstellen derselben im Anschluss an den in 7 gezeigten Schritt gemäß einer Ausführungsform der vorliegenden Erfindung. In dieser Ausführungsform kann eine dielektrische Schicht 901 anstelle der dielektrischen Schicht 701 so gebildet werden, dass sie eine Höhe aufweist, die über der Oberseite der FET-Gruppen 100a, 100b und 100c liegt und diese bedeckt. In diesem Fall sind lediglich die mit Damaszierung gebildeten M1-Metallleitungen 801, 802 und 803 von der Oberseite derselben sichtbar. Eine Querschnittansicht, die beim Querschnitt B-B' durchgeführt wird (der sich von dem vorherigen Querschnitt A-A' unterscheidet) stellt dar, dass die M1-Metallleitung 801 mit der Gate-Elektrode 104 der FET-Gruppe 100a verbunden ist, wobei ein Anteil der Deckschicht 105 durch einige leitfähige Materialien ersetzt ist, wie beispielsweise Kupfer oder Aluminium, die den leitfähigen Stift 804 bilden.
  • Während hierin bestimmte Merkmale der Erfindung dargestellt und beschrieben wurden, werden nunmehr für den Fachmann viele Modifikationen, Substitutionen, Änderungen und Äquivalente auftreten. Daher versteht es sich, dass die beigefügten Ansprüche alle derartigen Modifikationen und Änderungen abdecken sollen, so dass sie in den Inhalt der Erfindung fallen.

Claims (20)

  1. Struktur, die aufweist: eine Mehrzahl von Feldeffekttransistoren (100a, 100b, 100c) mit Gate-Stapeln (106), die auf der Oberseite eines Halbleitersubstrats (101) ausgebildet sind, wobei die Gate-Stapel Abstandshalter (201) aufweisen, die an Seitenwänden derselben ausgebildet sind; und einen oder mehrere leitfähige Kontakte (601, 602), die direkt auf der Oberseite des Halbleitersubstrats ausgebildet sind und wenigstens eine Source/einen Drain (202) von einem der Mehrzahl von Feldeffekttransistoren mit wenigstens einer Source/einem Drain (202) eines weiteren der Mehrzahl von Feldeffekttransistoren verbindet, wobei der eine oder die mehreren leitfähigen Kontakte ein Teil einer lokalen Zwischenverbindung mit einem niedrigen Profil (LPLI) sind, wobei die LPLI eine Höhe aufweist, die geringer als eine Höhe der Gate-Stapel ist.
  2. Struktur nach Anspruch 1, wobei der eine oder die mehreren leitfähigen Kontakte der LPLI direkt an die Abstandshalter der Gate-Stapel angrenzend ausgebildet sind.
  3. Struktur nach Anspruch 1, die des Weiteren einen oder mehrere Durchkontaktierungen (501a, 501b, 6) aufweist, die auf der Oberseite des einen oder der mehreren leitfähigen Kontakte und direkt benachbart zu den Abstandshaltern der Gate-Stapel ausgebildet sind.
  4. Struktur nach Anspruch 3, wobei der eine oder die mehreren Durchkontaktierungen aus einem gleichen Material wie jene des einen oder der mehreren leitfähigen Kontakte hergestellt sind und eine gleiche Höhe wie die Höhe der Gate-Stapel aufweisen.
  5. Struktur nach Anspruch 3, wobei die Gate-Stapel, die eine Deckschicht (105) auf der Oberseite derselben aufweisen, des Weiteren aufweisen: eine Leitung (801, 802) eines leitfähigen Pfades, die direkt über, jedoch nicht in Kontakt mit dem einen oder den mehreren leitfähigen Kontakten der LPLI ausgebildet ist, wobei die Leitung des leitfähigen Pfades auf einer Oberseite von und in Kontakt mit der Deckschicht von wenigstens einem der Gate-Stapel ausgebildet ist.
  6. Struktur nach Anspruch 5, wobei die Leitung des leitfähigen Pfades von dem einen oder den mehreren leitfähigen Kontakten unterhalb derselben mittels einer Schicht aus einem dielektrischen Material (701) isoliert ist.
  7. Struktur nach Anspruch 5, wobei die Leitung des leitfähigen Pfades in Kontakt mit wenigstens einem von dem einen oder den mehreren Durchkontaktierungen ist und mit wenigstens einem von dem einen oder den mehreren leitfähigen Kontakten durch den einen oder die mehreren Durchkontaktierungen elektrisch verbunden ist.
  8. Struktur nach Anspruch 5, wobei die Leitung (801) des leitfähigen Pfades in Kontakt mit wenigstens einem der Gate-Stapel durch eine Öffnung ist, die in der Deckschicht auf der Oberseite derselben ausgebildet ist, wobei die Öffnung mit einem leitfähigen Material (804) gefüllt ist.
  9. Struktur, die aufweist: eine Mehrzahl von Feldeffekttransistoren (100a, 100b, 100c) mit Gate-Stapeln (106), die auf der Oberseite eines Halbleitersubstrats (101) ausgebildet sind, wobei die Gate-Stapel Abstandshalter (201) aufweisen, die an Seitenwänden derselben ausgebildet sind; und eine lokale Zwischenverbindung mit einem niedrigen Profil (LPLI) (601, 602), die direkt auf der Oberseite des Halbleitersubstrats ausgebildet ist, wobei die LPLI einen oder mehrere leitfähige Kontakte (601, 602) aufweist, die eine Source/einen Drain (202) von einem der Mehrzahl von Feldeffekttransistoren mit einer Source/einem Drain (202) von wenigstens einem weiteren der Mehrzahl von Feldeffekttransistoren verbindet, wobei die LPLI eine Höhe aufweist, die geringer als eine Höhe der Gate-Stapel ist.
  10. Struktur nach Anspruch 9, wobei der eine oder die mehreren leitfähigen Kontakte der LPLI direkt an einen unteren Anteil (6) der Abstandshalter der Gate-Stapel angrenzend ausgebildet sind.
  11. Struktur nach Anspruch 9, die des Weiteren einen oder mehrere Durchkontaktierungen (501a, 501b) aufweist, die nahtlos auf der Oberseite des einen oder der mehreren leitfähigen Kontakte und direkt benachbart zu den Abstandshaltern der Gate-Stapel ausgebildet sind, wobei der eine oder die mehreren Durchkontaktierungen aus einem gleichen Material wie jenem des einen oder der mehreren leitfähigen Kontakte hergestellt sind und eine gleiche Höhe wie die Höhe der Gate-Stapel aufweisen.
  12. Struktur nach Anspruch 11, wobei die Gate-Stapel, die eine Deckschicht (105) auf der Oberseite derselben aufweist, des Weiteren aufweisen: eine M1-Metallleitung (801, 802, 803), die direkt über, jedoch nicht in Kontakt mit dem einen oder den mehreren leitfähigen Kontakten der LPLI ausgebildet ist, wobei die M1-Metallleitung auf der Oberseite von und in Kontakt mit der Deckschicht (8) von wenigstens einem der Gate-Stapel ausgebildet ist.
  13. Struktur nach Anspruch 12, wobei die M1-Metallleitung von dem einen oder den mehreren leitfähigen Kontakten unterhalb derselben mittels einer Schicht (701) aus einem dielektrischen Material getrennt ist und in Kontakt mit wenigstens einem von dem einen oder den mehreren Durchkontaktierungen (501a, 501b) ist und mit der LPLI durch den einen oder die mehreren Durchkontaktierungen elektrisch verbunden ist.
  14. Struktur nach Anspruch 12, wobei die M1-Metallleitung mit wenigstens einem der Gate-Stapel durch eine im Inneren der Deckschicht auf der Oberseite des einen der Gate-Stapel ausgebildete Öffnung (804) in Kontakt ist, wobei die Öffnung mit einem leitfähigen Material gefüllt ist.
  15. Halbleiterstruktur, die Kontakte zu einer Mehrzahl von Feldeffekttransistoren (100a, 100b, 100c) bereitstellt, die auf der Oberseite eines Halbleitersubstrats (101) ausgebildet sind, wobei die Halbleiterstruktur aufweist: eine lokale Zwischenverbindung mit einem niedrigen Profil (LPLI) (601, 602), die direkt auf der Oberseite des Halbleitersubstrats ausgebildet ist, wobei die LPLI einen oder mehrere leitfähige Kontakte aufweist, die eine Source/einen Drain (202) von einem der Mehrzahl von Feldeffekttransistoren mit einer Source/einem Drain (202) von wenigstens einem weiteren der Mehrzahl von Feldeffekttransistoren verbindet, wobei die LPLI eine Höhe aufweist, die geringer als eine Höhe der Gate-Stapel der Mehrzahl von Feldeffekttransistoren ist.
  16. Halbleiterstruktur nach Anspruch 15, wobei der eine oder die mehreren leitfähigen Kontakte der LPLI angrenzend an einen unteren Anteil eines Satzes von Abstandshaltern (201) ausgebildet sind, wobei der Satz von Abstandshaltern benachbart zu Seitenwänden der Gate-Stapel ausgebildet ist.
  17. Halbleiterstruktur nach Anspruch 15, die des Weiteren einen oder mehrere Durchkontaktierungen (501a, 501b) aufweist, die auf der Oberseite von und zusammen mit dem einen oder den mehreren leitfähigen Kontakten ausgebildet sind, wobei der eine oder die mehreren Durchkontaktierungen benachbart zu den Abstandshaltern (8) der Gate-Stapel sind, aus einem gleichen Material wie jenem des einen oder der mehreren leitfähigen Kontakte hergestellt sind und eine gleiche Höhe wie die Höhe der Gate-Stapel aufweisen.
  18. Struktur nach Anspruch 17, wobei die Gate-Stapel, die eine Deckschicht auf der Oberseite derselben beinhalten, des Weiteren aufweisen: wenigstens eine M1-Metallleitung (802), die direkt über, jedoch nicht in Kontakt mit dem einen oder den mehreren leitfähigen Kontakten der LPLI ausgebildet ist, wobei die wenigstens eine M1-Metallleitung auf der Oberseite von und in Kontakt mit der Deckschicht (105) von wenigstens einem der Gate-Stapel ausgebildet ist.
  19. Struktur nach Anspruch 18, wobei die wenigstens eine M1-Metallleitung mittels einer Schicht (701) aus einem dielektrischen Material von dem einen oder den mehreren leitfähigen Kontakten unterhalb derselben getrennt ist und in Kontakt mit wenigstens einem von dem einen oder den mehreren Durchkontaktierungen ist und dadurch durch den einen oder die mehreren Durchkontaktierungen mit der LPLI elektrisch verbunden ist.
  20. Struktur nach Anspruch 19, die des Weiteren eine weitere M1-Metallleitung (801) aufweist, die mit wenigstens einem der Gate-Stapel durch eine im Inneren der Deckschicht auf der Oberseite des einen der Gate-Stapel ausgebildete Öffnung in Kontakt ist, wobei die Öffnung mit einem leitfähigen Material (804) gefüllt ist.
DE112012002648.9T 2011-06-27 2012-03-12 Lokale Zwischenverbindung mit einem niedrigen Profil und Verfahren zum Herstellen derselben Active DE112012002648B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US13/169,081 2011-06-27
USUS-13/169,081 2011-06-27
US13/169,081 US8754483B2 (en) 2011-06-27 2011-06-27 Low-profile local interconnect and method of making the same
PCT/US2012/028708 WO2013002851A1 (en) 2011-06-27 2012-03-12 Low-profile local interconnect and method of making the same

Publications (2)

Publication Number Publication Date
DE112012002648T5 true DE112012002648T5 (de) 2014-03-20
DE112012002648B4 DE112012002648B4 (de) 2021-09-30

Family

ID=47361051

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112012002648.9T Active DE112012002648B4 (de) 2011-06-27 2012-03-12 Lokale Zwischenverbindung mit einem niedrigen Profil und Verfahren zum Herstellen derselben

Country Status (5)

Country Link
US (1) US8754483B2 (de)
CN (1) CN103563086B (de)
DE (1) DE112012002648B4 (de)
GB (1) GB2507011B (de)
WO (1) WO2013002851A1 (de)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9590057B2 (en) 2014-04-02 2017-03-07 International Business Machines Corporation Reduced parasitic capacitance with slotted contact
CN105446070B (zh) * 2014-07-18 2019-11-08 中芯国际集成电路制造(上海)有限公司 光掩膜版、半导体器件的制作方法、半导体器件及存储芯片
US9761689B2 (en) * 2014-09-12 2017-09-12 Globalfoundries Inc. Method of forming a semiconductor device and according semiconductor device
US10910313B2 (en) 2017-11-16 2021-02-02 Samsung Electronics Co., Ltd. Integrated circuit including field effect transistors having a contact on active gate compatible with a small cell area having a small contacted poly pitch
US10861950B2 (en) 2017-11-16 2020-12-08 Samsung Electronics Co., Ltd. Integrated circuit including field effect transistors having a contact on active gate compatible with a small cell area having a small contacted poly pitch
US11069564B2 (en) * 2019-04-09 2021-07-20 International Business Machines Corporation Double metal patterning

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2755613B2 (ja) 1988-09-26 1998-05-20 株式会社東芝 半導体装置
IT1243303B (it) 1990-07-24 1994-05-26 Sgs Thomson Microelectronics Schieramento di celle di memoria con linee metalliche di connessione di source e di drain formate sul substrato ed ortogonalmente sovrastate da linee di connessione di gate e procedimento per la sua fabbricazione
JP3641103B2 (ja) * 1997-06-27 2005-04-20 株式会社東芝 不揮発性半導体メモリ装置の製造方法
US6300666B1 (en) * 1998-09-30 2001-10-09 Honeywell Inc. Method for forming a frontside contact to the silicon substrate of a SOI wafer in the presence of planarized contact dielectrics
US6165858A (en) * 1998-11-25 2000-12-26 Advanced Micro Devices Enhanced silicidation formation for high speed MOS device by junction grading with dual implant dopant species
KR20010015269A (ko) 1999-07-12 2001-02-26 윌리엄 비. 켐플러 Sas 공정을 포함하지 않는 플래시 메모리의 레이아웃및 방법
US6534389B1 (en) 2000-03-09 2003-03-18 International Business Machines Corporation Dual level contacts and method for forming
US6638843B1 (en) 2000-03-23 2003-10-28 Micron Technology, Inc. Method for forming a silicide gate stack for use in a self-aligned contact etch
US6511879B1 (en) 2000-06-16 2003-01-28 Micron Technology, Inc. Interconnect line selectively isolated from an underlying contact plug
EP1535341A1 (de) * 2002-06-14 2005-06-01 International Business Machines Corporation Erweiterte struktur und verfahren für vergrabene lokale verbindungen
US7382659B2 (en) 2002-10-15 2008-06-03 Halo Lsi, Inc. Twin insulator charge storage device operation and its fabrication method
US6861701B2 (en) 2003-03-05 2005-03-01 Advanced Analogic Technologies, Inc. Trench power MOSFET with planarized gate bus
US6924184B2 (en) 2003-03-21 2005-08-02 Freescale Semiconductor, Inc. Semiconductor device and method for forming a semiconductor device using post gate stack planarization
US20050085072A1 (en) 2003-10-20 2005-04-21 Kim Hyun T. Formation of self-aligned contact plugs
US7081378B2 (en) 2004-01-05 2006-07-25 Chartered Semiconductor Manufacturing Ltd. Horizontal TRAM and method for the fabrication thereof
US7560808B2 (en) 2005-10-19 2009-07-14 Texas Instruments Incorporated Chip scale power LDMOS device
US7297618B1 (en) 2006-07-28 2007-11-20 International Business Machines Corporation Fully silicided gate electrodes and method of making the same
US7879718B2 (en) * 2006-12-27 2011-02-01 Spansion Llc Local interconnect having increased misalignment tolerance
US7629182B2 (en) 2007-04-17 2009-12-08 Freescale Semiconductor, Inc. Space and process efficient MRAM and method
CN101621030B (zh) * 2008-07-02 2011-01-12 中芯国际集成电路制造(上海)有限公司 具有多晶硅接触的自对准mos结构

Also Published As

Publication number Publication date
WO2013002851A1 (en) 2013-01-03
CN103563086B (zh) 2016-09-14
US20120326237A1 (en) 2012-12-27
CN103563086A (zh) 2014-02-05
US8754483B2 (en) 2014-06-17
DE112012002648B4 (de) 2021-09-30
GB2507011A (en) 2014-04-16
GB2507011B (en) 2015-06-10
GB201401202D0 (en) 2014-03-12

Similar Documents

Publication Publication Date Title
DE102018202897B4 (de) Austauschmetallgatestrukturierung für Nanosheet-Vorrichtungen
DE102017207873B4 (de) Verfahren zum Bilden eines Luftspalts für eine Halbleitervorrichtung
DE102017207777B4 (de) Luftspalt über Transistorgate und entsprechender RFSOI-Schalter
DE102015109834B4 (de) Verfahren zur Ausbildung eines Fin-Feldeffekttransistor (Finfet) - Bauelementstruktur mit unebenem Gate
DE102014117338B4 (de) Verfahren zum ausbilden einer verbindungsstruktur für eine halbleitervorrichtung
DE102018218869B4 (de) Verfahren zum Bilden von Kontaktstrukturen auf integrierten Schaltungsprodukten
DE102012219171B4 (de) Verfahren zum Bilden einer dreidimensionalen (3D) integrierten Schaltung und entsprechend gebildete dreidimensionale (3D) integrierte Schaltung
DE102015106411B4 (de) Obere metallische kontaktpads als lokale verbinder von vertikaltransistoren
DE102011085203B4 (de) Herstellungsverfahren für Halbleiterbauelemente mit Durchgangskontakten
DE102010064289B4 (de) Größenreduzierung von Kontaktelementen und Kontaktdurchführungen in einem Halbleiterbauelement durch Einbau eines zusätzlichen Abschrägungsmaterials
DE102010029533B3 (de) Selektive Größenreduzierung von Kontaktelementen in einem Halbleiterbauelement
DE102013103976B4 (de) Halbleiterbauelement mit selbstausgerichteten Verbindungen und Sperrabschnitten
DE112012001220T5 (de) Lokale, zu einer Gate-Struktur selbstjustierte Zwischenverbindungsstruktur
DE112013001404T5 (de) Verhindern eines Kurzschliessens von benachbarten Einheiten
DE102016118062B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit einem nichtflüchtigen Speicher und einer Logikschaltung
DE102019203596B4 (de) Mehrfachstrukturierung mit Dornschnitten, die unter Verwendung einer Blockmaske gebildet werden
DE112012002648B4 (de) Lokale Zwischenverbindung mit einem niedrigen Profil und Verfahren zum Herstellen derselben
DE102010002411B4 (de) Verfahren zur Herstellung von Kontaktbalken mit reduzierter Randzonenkapazität in einem Halbleiterbauelement
DE102018208546A1 (de) Strukturen aus dem mittleren bereich der fertigungslinie
DE102019203224B4 (de) Selbstausgerichtete Mehrfachstrukturierungsprozesse mit geschichteten Dornen
DE102011002769A1 (de) Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE112020003222B4 (de) Zwischenverbindungsanordnung mit vollständig ausgerichteten durchkontakten
DE102009006798A1 (de) Verfahren zur Herstellung eines Metallisierungssystems eines Halbleiterbauelements unter Anwendung einer Hartmaske zum Definieren der Größe der Kontaktdurchführung
DE102016114923B4 (de) Halbleiter-Bauelement und ein Verfahren zu dessen Herstellung
DE102018205693B4 (de) Verfahren zum bilden von selbstausgerichteten schnitten

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R082 Change of representative

Representative=s name: LIFETECH IP SPIES DANNER & PARTNER PATENTANWAE, DE

Representative=s name: SPIES DANNER & PARTNER PATENTANWAELTE PARTNERS, DE

Representative=s name: LIFETECH IP SPIES & BEHRNDT PATENTANWAELTE PAR, DE

Representative=s name: SPIES & BEHRNDT PATENTANWAELTE PARTG MBB, DE

R082 Change of representative

Representative=s name: LIFETECH IP SPIES DANNER & PARTNER PATENTANWAE, DE

Representative=s name: LIFETECH IP SPIES & BEHRNDT PATENTANWAELTE PAR, DE

Representative=s name: SPIES & BEHRNDT PATENTANWAELTE PARTG MBB, DE

R016 Response to examination communication
R082 Change of representative

Representative=s name: LIFETECH IP SPIES & BEHRNDT PATENTANWAELTE PAR, DE

Representative=s name: SPIES & BEHRNDT PATENTANWAELTE PARTG MBB, DE

R082 Change of representative

Representative=s name: SPIES & BEHRNDT PATENTANWAELTE PARTG MBB, DE

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R084 Declaration of willingness to licence
R020 Patent grant now final