DE102019117786B4 - Reduzierung der parasitären kapazität für gate-all-around-vorrichtung durch bildung zusätzlicher innerer abstandshalter - Google Patents

Reduzierung der parasitären kapazität für gate-all-around-vorrichtung durch bildung zusätzlicher innerer abstandshalter Download PDF

Info

Publication number
DE102019117786B4
DE102019117786B4 DE102019117786.3A DE102019117786A DE102019117786B4 DE 102019117786 B4 DE102019117786 B4 DE 102019117786B4 DE 102019117786 A DE102019117786 A DE 102019117786A DE 102019117786 B4 DE102019117786 B4 DE 102019117786B4
Authority
DE
Germany
Prior art keywords
layers
spacers
semiconductor
spacer
spacer layers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102019117786.3A
Other languages
English (en)
Other versions
DE102019117786A1 (de
Inventor
Kuo-Cheng Ching
Chih-Hao Wang
Shi Ning Ju
Kuan-Lun Cheng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/446,312 external-priority patent/US11069793B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102019117786A1 publication Critical patent/DE102019117786A1/de
Application granted granted Critical
Publication of DE102019117786B4 publication Critical patent/DE102019117786B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Theoretical Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

Halbleitervorrichtung, aufweisend:eine Mehrzahl von Nanostrukturen (120), wobei die Nanostrukturen jeweils ein Halbleitermaterial enthalten;eine Mehrzahl von ersten Abstandshaltern (370), die die Nanostrukturen in Umfangsrichtung umwickeln;eine Mehrzahl von zweiten Abstandshaltern (380), die die ersten Abstandshalter in Umfangsrichtung umwickeln;eine Mehrzahl von dritten Abstandshaltern (390), die vertikal zwischen den zweiten Abstandshaltern angeordnet sind; undeine Gate-Struktur (510), die die zweiten Abstandshalter und die dritten Abstandshalter umgibt, wobei:die ersten Abstandhalter (370) ein Oxidmaterial aufweisen;die zweiten Abstandhalter (380) ein High-k dielektrisches Material aufweisen; unddie dritten Abstandshalter (390) ein Low-k dielektrisches Material aufweisen.

Description

  • TECHNISCHER HINTERGRUND
  • Die Industrie für integrierte Halbleiter-Schaltungen (intergrated circuit, IC) verzeichnet ein exponentielles Wachstum. Technologische Fortschritte bei IC-Materialien und Design haben Generationen von ICs hervorgebracht, bei denen jede Generation kleinere und komplexere Schaltungen als die vorherige Generation aufweist. Im Laufe der IC-Entwicklung hat sich die Funktionsdichte (d.h. die Anzahl der miteinander verbundenen Bauelemente pro Chipfläche) im Allgemeinen erhöht, während sich die Geometriegröße (d.h. die kleinste Komponente (oder Leitung), die durch einen Herstellungsprozess erzeugt werden kann) verkleinert hat. Dieser Verkleinerungsprozess liefert Vorteile im Allgemeinen durch die Erhöhung der Produktionseffizienz und die Senkung der damit verbundenen Kosten. Diese Verkleinerung hat auch die Komplexität der Verarbeitung und Herstellung von ICs erhöht, und um diese Fortschritte zu verwirklichen, sind ähnliche Entwicklungen in der IC-Verarbeitung und Herstellung erforderlich.
  • So wurden beispielsweise Multi-Gate-Vorrichtungen eingeführt, um die Gate-Kontrolle durch Erhöhung der Gatekanal-Kopplung zu verbessern, den AUS-Zustand-Strom zu reduzieren und die Kurzkanal-Effekte (short-channel effects, SCEs) zu reduzieren. Eine dieser Multi-Gate-Vorrichtungen ist der horizontale Gate-All-Around- (horizontal gate all around, HGAA-) Transistor, dessen Gatestruktur sich um seinen horizontalen Kanalbereich erstreckt und den Zugang zu dem Kanalbereich auf allen Seiten ermöglicht. Die HGAA-Transistoren sind kompatibel mit herkömmlichen komplementären Metall-Oxid-Halbleiter- (complementary metal oxide semiconductor, CMOS-) Prozessen, wodurch sie aggressiv verkleinert werden konnten, während die Kontrolle über das Gate aufrechterhalten und SCEs unterdrückt werden konnten. Herkömmliche HGAA-Vorrichtungen können jedoch eine übermäßige parasitäre Kapazität zwischen dem Gate und der Source/Drain aufweisen, was die Leistung der Vorrichtung beeinträchtigen könnte.
  • Obwohl herkömmliche HGAA-Vorrichtungen im Allgemeinen für ihren Verwendungszweck ausreichend waren, sind sie daher nicht in jeder Hinsicht zufriedenstellend.
  • Zum Stand der Technik wird auf die US 2014 / 0 001 441 A1 und die US 2017 / 0 186 846 A1 verwiesen.
  • Figurenliste
  • Die Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung verstehen, wenn sie mit den dazugehörigen Zeichnungen gelesen werden. Es wird betont, dass verschiedene Merkmale gemäß der in der Branche üblichen Praxis nicht maßstabgetreu gezeigt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale der Klarheit der Diskussion halber beliebig vergrößert oder verkleinert sein.
    • 1A-11A sind dreidimensionale (3D) perspektivische Ansichten einer Halbleiterstruktur in verschiedenen Stadien der Herstellung gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 7B-15B sind X-Schnitt-Querschnittsseitenansichten einer Halbleiterstruktur in verschiedenen Stadien der Herstellung gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 7C-15C sind Y-Schnitt-Querschnittsseitenansichten einer Halbleiterstruktur in verschiedenen Stadien der Herstellung gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 7D-15D sind Z-Schnitt-Querschnittsansichten einer Halbleiterstruktur in verschiedenen Stadien der Herstellung gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 16 ist ein Flussdiagramm, das ein Verfahren zur Herstellung einer Halbleiterstruktur gemäß verschiedenen Aspekten der vorliegenden Offenbarung veranschaulicht.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung enthält viele verschiedene Ausführungsformen oder Beispiele für die Implementierung verschiedener Merkmale des bereitgestellten Gegenstands. Nachstehend werden konkrete Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. So kann beispielsweise die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und zweite Merkmal in direktem Kontakt gebildet werden, und auch Ausführungsformen, in denen zusätzliche Merkmale zwischen dem ersten und zweiten Merkmal gebildet werden können, so dass das erste und zweite Merkmal nicht in direktem Kontakt stehen können. Ferner kann die vorliegende Offenbarung in den verschiedenen Beispielen Referenznummern und/oder -buchstaben wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und schreibt an sich nicht eine Beziehung zwischen den verschiedenen Ausführungsformen und/oder Ausgestaltungen vor.
  • Ferner können räumlich verwandte Begriffe wie „unten“, „unter“, „unterhalb“, „oben“, „über“, „oberhalb“ und dergleichen hierin zur besseren Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem anderen Element oder einer anderen Funktion oder einem anderen Merkmal zu beschreiben, wie in den Zeichnungen gezeigt. Die räumlich relativen Begriffe sollen neben der in den Zeichnungen gezeigten Ausrichtung auch unterschiedliche Ausrichtungen der verwendeten oder betriebenen Vorrichtung umfassen. Die Vorrichtung kann anders ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen) und die hierin verwendeten räumlich relativen Deskriptoren können ebenso entsprechend interpretiert werden.
  • Ferner, wenn eine Zahl oder ein Zahlenbereich mit „ungefähr“, „etwa“ und dergleichen beschrieben wird, ist der Begriff dazu bestimmt, Zahlen zu erfassen, die sich in einem angemessenen Bereich befinden, einschließlich der beschriebenen Zahl, wie beispielsweise innerhalb von +/- 10% der beschriebenen Zahl oder anderer Werte, wie sie von einem Fachmann verstanden werden. So umfasst beispielsweise der Begriff „etwa 5 nm“ den Abmessungsbereich von 4,5 nm bis 5,5 nm.
  • Die vorliegende Offenbarung bezieht sich im Allgemeinen auf Halbleitervorrichtungen und Verfahren zu deren Herstellung. Insbesondere bezieht sich die vorliegende Offenbarung auf Gate-All-Round- (GAA-) Vorrichtungen. Eine GAA-Vorrichtung umfasst jede Vorrichtung, deren Gatestruktur oder Teile hiervon auf vier Seiten eines Kanalbereichs (z.B. einen Teil eines Kanalbereichs umgebend) ausgebildet sind. Der Kanalbereich einer GAA-Vorrichtung kann Nanostrukturen wie Nanodraht-Kanäle, stabförmige Kanäle und/oder andere geeignete Kanalkonfigurationen umfassen. In Ausführungsformen kann der Kanalbereich einer GAA-Vorrichtung mehrere vertikal voneinander beabstandete horizontale Nanodrähte oder horizontale Balken aufweisen, was die GAA-Vorrichtung zu einer gestapelten horizontalen GAA-Vorrichtung (stacked horizontal GAA, S-HGAA) macht. Die hierin vorgestellten GAA-Vorrichtungen können p-Typ Metalloxid-Halbleiter-GAA-Vorrichtungen oder n-Typ Metalloxid-Halbleiter-GAA-Vorrichtungen umfassen. Ferner können die GAA-Vorrichtungen einen oder mehrere Kanalbereiche (z.B. Nanodrähte) aufweisen, die einer einzelnen, zusammenhängenden Gatestruktur oder mehreren Gatestrukturen zugeordnet sind. Eine der üblichen Ein Fachmann mag weitere Beispiele von Halbleitervorrichtungen erkennen, die von Aspekten der vorliegenden Offenbarung profitieren können.
  • Die 1A-11A sind dreidimensionale (3D) perspektivische Ansichten einer Halbleitervorrichtung 100 in verschiedenen Stadien der Herstellung gemäß verschiedenen Aspekten der vorliegenden Offenbarung. 7B-11B sind entlang X geschnittene Querschnittsseitenansichten der Halbleitervorrichtung 100 in verschiedenen Stadien der Herstellung gemäß verschiedenen Aspekten der vorliegenden Offenbarung. 7C-11C sind entlang Y geschnittene Querschnittsseitenansichten der Halbleitervorrichtung 100 in verschiedenen Stadien der Herstellung gemäß verschiedenen Aspekten der vorliegenden Offenbarung. 7D-11D sind entlang Z geschnittene Querschnittsansichten der Halbleitervorrichtung 100 in verschiedenen Stadien der Herstellung gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
  • In den veranschaulichten Ausführungsformen umfasst die Halbleitervorrichtung 100 eine GAA-Vorrichtung (z.B. eine HGAA-Vorrichtung). Die GAA-Vorrichtung kann während einer Bearbeitung eines ICs oder eines Teils hiervon hergestellt werden, der statische Direktzugriffsspeicher- (SRAM-) und/oder Logikschaltungen, passive Komponenten wie Widerstände, Kondensatoren und Induktionsspulen und aktive Komponenten umfassen kann, wie beispielsweise p-Typ Feldeffekttransistoren (PFETs), n-Typ FETs (NFETs), Multi-Gate-FETs wie beispielsweise FinFETs, Metalloxid-Halbleiter-Feldeffekttransistoren (MOSFETs), komplementäre Metalloxid-Halbleiter-(CMOS)-Transistoren, bipolare Transistoren, Hochspannungstransistoren, Hochfrequenztransistoren, andere Speicherzellen und Kombinationen hiervon.
  • Mit Bezug auf 1A umfasst die Halbleitervorrichtung 100 ein Substrat 105. In einigen Ausführungsformen enthält das Substrat 105 ein Halbleitermaterial, zum Beispiel Silizium. Eine Mehrzahl von Halbleiterschichten 110 und 120 sind vertikal (entlang einer Z-Richtung wie in 1 gezeigt) über dem Substrat 105 in verschachtelter oder alternierender Weise gestapelt. Beispielsweise sind eine Halbleiterschicht 110 über dem Substrat 105, eine Halbleiterschicht 120 über der Halbleiterschicht 110, und eine weitere Halbleiterschicht 110 über der Halbleiterschicht 120 usw. angeordnet. Die Materialzusammensetzungen der Halbleiterschichten 120 und 110 sind so konfiguriert, dass sie eine Ätzselektivität in einem nachfolgenden Ätzprozess aufweisen, die nachstehend näher erläutert wird. Beispielsweise die Halbleiterschichten 110 in einigen Ausführungsformen Silizium-Germanium (SiGe), während die Halbleiterschichten 120 Silizium (Si) enthalten. In einigen anderen Ausführungsformen enthalten die Halbleiterschichten 120 SiGe, während die Halbleiterschichten 110 Si enthalten.
  • Mit Bezug nun auf 2A werden ein oder mehrere Ätzprozesse an der Halbleitervorrichtung 100 durchgeführt, um den Stapel der Halbleiterschichten 110 und 120 in eine Mehrzahl von Finnenstrukturen zu strukturieren, zum Beispiel in die Finnenstrukturen 130 und 131. Jede der Finnenstrukturen 130-131 umfasst einen Stapel der Halbleiterschichten 110 und 120, wobei die Halbleiterschichten 110 und 120 alternierend angeordnet sind. Die Finnenstrukturen 130 und 131 erstrecken sich jeweils horizontal in X-Richtung und sind in Y-Richtung horizontal voneinander getrennt, wie in 2 gezeigt. Die Strukturierung kann z.B. mit den Ätzmaskenschichten 140 und 141 durchgeführt werden. Die Ätzmasken 140 und 141 können jeweils eine Mehrzahl von dielektrischen Schichten enthalten. Es ist zu beachten, dass die durchgeführten Ätzprozesse zum Ätzen der Finnen 130-131 auch Teile des Substrats 105 wegätzen können. Mit anderen Worten ist das Substrat 105 vertikal in Z-Richtung ausgenommen. Es versteht sich, dass die X-Richtung und die Y-Richtung horizontale Richtungen sind, die senkrecht zueinander stehen, und dass die Z-Richtung eine vertikale Richtung ist, die senkrecht zu einer horizontalen Ebene ist, die durch die erste Richtung und die zweite Richtung definiert ist.
  • Mit Bezug nun auf 3A werden Isolationsstrukturen 150 gebildet, z.B. an der Stelle des entfernten Substrats 105. Mit anderen Worten befinden sich die Finnenstrukturen 130-131 oberhalb einer Ebene, die durch die oberen Oberflächen der Isolationsstrukturen 150 und die restlichen Abschnitte des Substrats 105 definiert ist. In einigen Ausführungsformen umfassen die Isolationsstrukturen 150 eine Flachgrabenisolation (shallow trench isolation, STI). Die Isolationsstruktur 150 kann ein elektrisch isolierendes Material wie beispielsweise Siliziumoxid enthalten.
  • Mit Bezug auf 4A wird über einem Abschnitt jeder der Finnenstrukturen 130-131 eine Dummy-Gatestruktur 160 gebildet. Die Dummy-Gatestruktur 160 umschließt die Oberund Seitenflächen jeder der Finnenstrukturen. Die Dummy-Gatestruktur 160 umfasst eine Gate-Dielektrikumsschicht 170. In einigen Ausführungsformen enthält die Gate-Dielektrikumsschicht 170 Siliziumoxid. In weiteren Ausführungsformen enthält die Gate-Dielektrikumsschicht 170 ein High-k dielektrisches Material. Ein High-k dielektrisches Material ist ein Material mit einer Dielektrizitätskonstante, die größer als eine Dielektrizitätskonstante von SiO2 ist, die etwa 4 beträgt. Beispielsweise umfasst das High-k Gate-Dielektrikum Hafniumoxid (HfO2), das eine Dielektrizitätskonstante im Bereich von etwa 18 bis etwa 40 aufweist. Als verschiedene andere Beispiele kann das High-k Gate-Dielektrikum ZrO2, Y2O3, La2O5, Gd2O5, TiO2, Ta2O5, HfErO, HfLaO, HfYO, HfGdO, HfAlO, HfZrO, HfTiO, HfTiO, HfTaO oder SrTiO umfassen. Die Dummy-Gatestruktur 160 umfasst auch eine Dummy-Gate-Elektrodenschicht 180, die über der Gate-Dielektrikumsschicht 170 ausgebildet ist. In einigen Ausführungsformen enthält die Dummy-Gate-Elektrodenschicht 180 Polysilizium.
  • Die Dummy-Gatestruktur 160 kann auch eine oder mehrere Maskenschichten 190 umfassen, die zum Strukturieren der Gate-Dielektrikumsschicht 170 und der Dummy-Gate-Elektrodenschicht 180 verwendet werden. So kann beispielsweise eine dielektrische Schicht über den Finnenstrukturen 130-131 und eine Polysiliziumschicht über der dielektrischen Schicht gebildet werden. Die Maskenschichten 190 können durch eine strukturierte Photoresist-Schicht strukturiert werden, und dann können die Maskenschichten 190 verwendet werden, um die Polysiliziumschicht und die dielektrische Schicht darunter zu strukturieren, um die Gate-Dielektrikumsschicht 170 und die Dummy-Gate-Elektrodenschicht 180 der Dummy-Gatestruktur 160 zu bilden. Die Dummy-Gatestruktur 160 kann durch anschließende Verarbeitung einen Gate-Austauchprozess durchlaufen, um ein High-k Metallgate auszubilden, wie nachstehend näher erläutert wird.
  • Mit Bezug auf 5A können Gate-Seitenabstandshalter 200 an den Seitenwänden der Dummy-Gatestruktur 160 gebildet werden. Die Gate-Seitenabstandshalter 200 enthalten ein dielektrisches Material, zum Beispiel Siliziumnitrid, Siliziumoxid, Siliziumkarbid, Siliziumoxidkarbid (SiOC), Siliziumoxidkarbonnitrid (SiOCN), ein Low-k dielektrisches Material oder eine Kombination hiervon. Die Gate-Seitenabstandshalter 200 können eine einschichtige oder mehrschichtige Struktur umfassen. In einigen Ausführungsformen weisen die Gate-Seitenabstandshalter 200 eine Dicke im Bereich von wenigen Nanometern (nm) auf. In einigen Ausführungsformen können die Gate-Seitenabstandshalter 200 durch Abscheiden einer Abstandshalter-Schicht (die ein dielektrisches Material enthält) über der Dummy-Gatestruktur 160 gebildet werden, gefolgt von einem anisotropen Ätzverfahren, um Abschnitte der Abstandshalter-Schicht von einer Oberseite der Dummy-Gate-Konstruktion 160 zu entfernen. Nach dem Ätzen verbleiben Teile der Abstandshalter-Schicht im Wesentlichen auf den Seitenwandflächen der Dummy-Gatestruktur 160 und werden zu den Gate-Seitenabstandshalter 200. In einigen Ausführungsformen ist der anisotrope Ätzprozess ein trockener (z.B. Plasma) Ätzprozess. Es versteht sich, dass die Bildung der Gate-Seitenabstandshalter 200 auch chemische Oxidation, thermische Oxidation, Atomschichtabscheidung (ALD), chemische Dampfabscheidung (CVD) und/oder andere geeignete Verfahren umfassen kann.
  • Ferner können Teile der Finnenstrukturen 130-131 außerhalb der Dummy-Gatestruktur 160 weggeätzt werden (aber Teile der Finnenstrukturen 130-131 unterhalb der Dummy-Gatestruktur 160 bleiben weiterhin erhalten). Source/Drain-Abstandshalter 205 sind ebenfalls über der Isolationsstruktur 150 ausgebildet. Die Source/Drain-Abstandshalter 205 können ein Low-k dielektrisches Material umfassen. Epi-Schichten 210 werden gebildet, zum Beispiel durch einen epitaktischen Wachstumsprozess. Die epi-Schichten 210 können auf dem Substrat 105 aufgewachsen sein und über den Source/Drain-Abstandhaltern 205 miteinander verschmelzen, wie in 5A gezeigt. Die epi-Schichten 210 können als Source/Drain-Bereiche der Halbleitervorrichtung 100 dienen.
  • Mit Bezug nun auf 6A wird eine Kontakt-Ätzstoppschicht 220 auf den Oberseiten der epi-Schichten 210 gebildet. Die Kontakt-Ätzstoppschicht 220 kann ein dielektrisches Material umfassen und kann verwendet werden, um das Ätzen während der Bildung von Source/Drain-Kontakt zu stoppen, z.B. wenn ein Source/Drain-Kontaktgraben geätzt wird. Über den epi-Schichten 210 (und über der Ätzstoppschicht 220) ist ein Zwischenschicht-Dielektrikum (ILD) 230 gebildet. Die ILD 230 kann ein dielektrisches Material umfassen, wie beispielsweise ein Low-k dielektrisches Material (ein dielektrisches Material mit einer Dielektrizitätskonstante, die kleiner als die von Siliziumdioxid ist). Als nicht einschränkende Beispiele kann das Low-k dielektrische Material Fluor-dotiertes Siliziumdioxid, Kohlenstoff-dotiertes Siliziumdioxid, poröses Siliziumdioxid, poröses Kohlenstoff-dotiertes Siliziumdioxid, organische polymere Spin-on Dielektrika, polymere Spin-on Dielektrika auf Siliziumbasis, oder Kombinationen hiervon umfassen. Alternativ kann die ILD 230 auch Siliziumoxid oder Siliziumnitrid oder Kombinationen hiervon umfassen. Die ILD 230 stellt unter anderem eine elektrische Isolation zwischen den verschiedenen Komponenten der Halbleitervorrichtung 100 bereit.
  • Mit Bezug auf 7A, 7B, 7C und 7D wird die Dummy-Gatestruktur 160 entfernt. Noch genauer, 7A veranschaulicht eine perspektivische dreidimensionale Ansicht der Halbleitervorrichtung 100. 7B veranschaulicht einen X-Schnitt der Halbleitervorrichtung 100, wobei der X-Schnitt entlang einer X-Z-Ebene entnommen ist (in 7A gezeigt). 7C veranschaulicht einen Y-Schnitt der Halbleitervorrichtung 100, wobei der Y-Schnitt entlang einer Y-Z-Ebene entnommen ist (in 7A gezeigt). 7D veranschaulicht einen Z-Schnitt der Halbleitervorrichtung 100, wobei der Z-Schnitt entlang einer X-Y-Ebene entnommen ist (in 7A gezeigt). Mit anderen Worten sind 7B-7C (d.h. der X-Schnitt und der Y-Schnitt) Querschnittsseitenansichten der Halbleitervorrichtung 100, während 7D eine Querschnittsoberansicht oder eine Draufansicht der Halbleitervorrichtung 100 ist. Es versteht sich jedoch, dass der Z-Schnitt nicht nur die Schichten auf einer einzigen X-Y-Ebene darstellt, sondern dass er die Überlagerung einer Mehrzahl von Schichten darstellt, um die Konzepte der vorliegenden Offenbarung besser zu veranschaulichen.
  • Das Entfernen der Dummy-Gatestruktur 160 bildet eine Aussparung 250 in der Halbleitervorrichtung 100. Die Aussparung 250 stellt die Ober- und Seitenflächen der Finnenstrukturen 130 und 131 teilweise frei.
  • Mit Bezug nun auf 8A, 8B, 8C und 8D wird ein Draht-Trennprozess 300 für die Halbleitervorrichtung 100 durchgeführt. Der Draht-Trennprozess 300 kann einen oder mehrere Ätzprozesse umfassen, um die Halbleiterschichten 110, nicht jedoch die Halbleiterschichten 120, zu entfernen. Wie bereits erwähnt wird dies durch die unterschiedliche Materialzusammensetzung zwischen den Halbleiterschichten 110 und 120 ermöglicht. Mit anderen Worten gibt es eine Ätzselektivität zwischen den Halbleiterschichten 110 und 120, wenn der Draht-Trennprozess 300 durchgeführt wird. So können beispielsweise die Halbleiterschichten 110 mit einer wesentlich höheren Rate (z.B. 10-fach oder mehr) weggeätzt werden als die zweiten Halbleiterschichten 120.
  • Das Entfernen der Halbleiterschichten 110 hinterlässt Hohlräume oder Spalte 310 in der Halbleitervorrichtung 100. Die Hohlräume oder Spalte 310 sind um die Halbleiterschichten 120 angeordnet. Somit ist jede der Halbleiterschichten 120 in Umfangsrichtung freigelegt. Der Draht-Trennprozess 300 kann auch die Halbleiterschichten 120 umformen. Beispielsweise kann der Draht-Trennprozess 300 bewirken, dass jede der Halbleiterschichten 120 ein mehr gerundetes oder gekrümmtes Profil aufweist. Dies wird nicht nur in der 3D-perspektivischen Ansicht der 8A gezeigt, sondern auch in der Querschnittsansicht der 8C (d.h. dem Y-Schnitt), worin das Querschnittsprofil jeder der Halbleiterschichten 120 einem Ellipsoid oder einem Oval ähnelt. Die Halbleiterschichten 120 können nachstehend auch austauschbar als „Drähte“ bezeichnet werden. Es versteht sich, dass diese „Drähte“ 120 als Kanalbereiche der Halbleitervorrichtung 100 dienen können.
  • Mit Bezug auf 9A, 9B, 9C und 9D werden ein oder mehrere Abstandhalter-Abscheidungsprozesse 350 an der Halbleitervorrichtung 100 durchgeführt. Der Abstandhalter-Abscheidungsprozesse 350 bildet mehrere Schichten von Abstandshaltern unter Verwendung von Verfahren wie CVD, Physical Vapor Deposition (PVD), Plasma Enhanced CVD (PECVD), Metall-Organic CVD (MOCVD), ALD oder Kombinationen hiervon. Diese mehrschichtigen Abstandhalter füllen zumindest teilweise die Hohlräume oder Spalte 310, die durch das Entfernen der Halbleiterschichten 110 entstehen.
  • Zum Beispiel, wie in 9A-9D gezeigt, ist eine Abstandshalter-Schicht 370 um die Außenflächen der Drähte 120 gebildet. Wie in der Y-Schnitt-Querschnittansicht der 9C deutlicher zu sehen ist, umwickelt die Abstandshalter-Schicht 370 jeden der Drähte 120 um 360 Grad in Umfangsrichtung. In einigen Ausführungsformen kann die Abstandshalter-Schicht 370 ein geeignetes dielektrisches Material umfassen und als Grenzflächenschicht (interfacial layer, IL) dienen, ähnlich wie die Grenzflächenschichten zwischen dem Kanalbereich und der Gatestruktur für konventionelle Transistoren. In einigen Ausführungsformen umfasst die Abstandshalter-Schicht 370 ein Oxidmaterial, zum Beispiel Siliziumoxid. Wie auch in 9B und 9D gezeigt, sind die Abstandshalter-Schichten 370 so ausgebildet, dass sie in direktem Kontakt mit den Seitenwänden der epi-Schichten 210 stehen.
  • Eine Abstandshalter-Schicht 380 ist auf der Abstandshalter-Schicht 370 ausgebildet. In einigen Ausführungsformen enthält die Abstandshalter-Schicht 380 ein High-k dielektrisches Material, das ein Material mit einer Dielektrizitätskonstante größer als eine Dielektrizitätskonstante von Si02 umfassen kann, wie vorstehend erläutert. In verschiedenen Ausführungsformen kann die Abstandshalter-Schicht 380 HfO2, ZrO2, Y2O3, La2O5, Gd2O5, TiO2, Ta2O5, HfErO, HfLaO, HfYO, HfGdO, HfAlO, HfZrO, HfTiO, HfTaO, HfTaO oder SrTiO enthalten. Wie in der Y-Schnitt-Querschnittsansicht der 9C deutlich zu sehen ist, umwickelt die Abstandshalter-Schicht 380 jede der Abstandshalter-Schichten 370 um 360 Grad in Umfangsrichtung. Wie in der 3D-perspektivischen Ansicht der 9A und in der X-Schnitt-Querschnittansicht der 9B gezeigt, sind Teile der Abstandshalter-Schicht 380 an den Seitenwänden der Gate-Abstandshalter 200 angeordnet. Es versteht sich, dass die Abstandshalter-Schicht 370 eine ähnliche Rolle spielen kann wie die High-k Gate-Dielektrikumsschicht in konventionellen Transistoren.
  • Eine Abstandshalter-Schicht 390 ist auf der Abstandshalter-Schicht 380 ausgebildet. Die Abstandshalter-Schicht 390 weist eine andere Materialzusammensetzung als die Abstandshalter-Schicht 380 auf. In einigen anderen Ausführungsformen weist die Abstandshalter-Schicht 390 eine niedrigere Dielektrizitätskonstante als die Abstandshalter-Schicht 380 auf. Beispielsweise kann die Abstandshalter-Schicht 390 ein Low-K Material umfassen (z.B. ein Material mit einer Dielektrizitätskonstante, die kleiner als eine Dielektrizitätskonstante von Siliziumoxid ist). Als nicht einschränkende Beispiele kann das Low-k dielektrische Material Fluor-dotiertes Siliziumdioxid, Kohlenstoff-dotiertes Siliziumdioxid, poröses Siliziumdioxid, poröses Kohlenstoff-dotiertes Siliziumdioxid, organische polymere Spin-on-Dielektrika, polymere Spin-on-Dielektrika auf Siliziumbasis oder Kombinationen hiervon umfassen. Die Implementierung der Abstandhalter-Schicht 390 ist einer der neuen Aspekte der vorliegenden Offenbarung, da sie in herkömmlichen GAA-Vorrichtungen nicht vorhanden ist. Die Abstandshalter-Schicht 390 trägt dazu bei, parasitäre Kapazitäten wie beispielsweise eine parasitäre Kapazität in einem Wechselstrom- (AC-) Kontext zu reduzieren, wie nachstehend näher erläutert wird.
  • Wie in der Y-Schnitt-Querschnittsansicht der 9C deutlich zu sehen ist, umwickelt die Abstandshalter-Schicht 390 jede der Abstandshalter-Schichten 380 um 360 Grad in Umfangsrichtung. Zusätzlich werden die Abstandshalter-Schichten 390, die um benachbarte Abstandshalter-Schichten 380 gewickelt sind, zusammengeführt. Wie in der 3D perspektivischen Ansicht der 9A und der X-Schnitt-Querschnittansicht der 9B gezeigt, sind Teile der Abstandshalter-Schicht 390 an den Seitenwänden der Abstandshalter-Schichten 380 angeordnet. Ferner kann die Abstandshalter-Schicht 390, wie in der Z-Schnitt-Querschnittsansicht der 9D gezeigt, eine „Brücke“ bilden, die sich in X-Richtung erstreckt, wobei die „Brücke“ durch Teile der Abstandshalter-Schichten 370 und 380 von den epi-Schichten 210 getrennt ist. Eine solche „Brücke“, die durch die Abstandshalter-Schicht 390 gebildet wird, ist nicht ein Merkmal, das bei herkömmlichen GAA-Vorrichtungen vorhanden ist. Wie nachstehend näher erläutert, erhöht diese „Brücke“, die durch die Abstandshalter-Schicht 390 gebildet wird - welche ein Low-k dielektrisches Material enthält - effektiv die Dicke eines dielektrischen Materials in einem parasitären Kondensator, was dann dazu beiträgt, die parasitäre Kapazität der Halbleitervorrichtung 100 zu verringern.
  • Mit Bezug auf 10A, 10B, 10C und 10D wird ein Abstandshalter-Ätzprozess 400 an der Halbleitervorrichtung 100 durchgeführt. In einigen Ausführungsformen umfasst der Abstandshalter-Ätzprozess 400 ein Nassätzverfahren. Aufgrund der unterschiedlichen Materialzusammensetzungen zwischen der Abstandshalter-Schicht 390 und der Abstandshalter-Schicht 380 ergibt sich eine Ätzselektivität zwischen der Abstandshalter-Schicht 390 und der Abstandshalter-Schicht 380. Daher ist der Abstandshalter-Ätzprozess 400 konfiguriert, um die Spacer-Schicht 390 teilweise wegzuätzen, die das meiste der Oberflächen der Spacer-Schicht 380 freilegt. Ein Großteil der Abstandshalter-Schicht 390 wird entfernt, und die restlichen Abschnitte der Abstandshalter-Schicht 390 sind Abschnitte, die zwischen den Abstandshalter-Schichten 380 angeordnet sind, wie in der Y-Schnitt-Querschnittsansicht der 10C deutlicher gezeigt.
  • Mit Bezug nun auf 11A, 11B, 11C und 11D wird ein Metall-Gate-Bildungsprozess 500 für die Halbleitervorrichtung 100 durchgeführt. Der Metall-Gate-Bildungsprozess 500 bildet eine Metall-Gatestruktur 510 in der Aussparung 250. In einigen Ausführungsformen umfasst die Metall-Gatestruktur 510 eine Metall-Gate-Elektrode. Die Metall-Gate-Elektrode kann eine Austrittsarbeit-Metallschicht umfassen. Die Austrittsarbeit-Metallschicht kann Austrittsarbeit-Metalle umfassen, die eingerichtet sind, eine Austrittsarbeit eines Transistors einzustellen. Die Austrittsarbeit-Metallschicht kann eine p-Typ Austrittsarbeit-Metallschicht oder eine n-Typ Austrittsarbeit-Metallschicht sein. Die p-Typ Austrittsarbeit-Metallschicht umfasst ein Metall ausgewählt aus der Gruppe von, jedoch nicht eingeschränkt auf, Titannitrid, Tantalnitrid, Ruthenium, Molybdän, Wolfram, Platin oder Kombinationen hiervon. Die n-Typ Austrittsarbeit-Metallschicht umfasst ein Metall ausgewählt aus der Gruppe von, jedoch nicht eingeschränkt auf, Titan, Aluminium, Tantalkarbid, Tantalkarbidnitrid, Tantalsiliziumnitrid, Tantalsiliziumnitrid oder Kombinationen hiervon. Die p-Typ oder n-Typ Austrittsarbeit-Metallschicht kann eine Mehrzahl von Schichten umfassen und kann durch ALD, CVD, PVD und/oder andere geeignete Verfahren abgeschieden werden.
  • Die Metall-Gate-Elektrode kann ferner eine Füllmetallschicht umfassen, die über der Austrittsarbeit-Metallschicht gebildet wird. Die Füllmetallschicht kann als elektrisch leitender Hauptabschnitt der Metall-Gate-Elektrode dienen. Die Füllmetallschicht kann Aluminium, Wolfram, Kobalt, Kupfer und/oder andere geeignete Materialien umfassen und kann durch ALD, CVD, PVD, Plattieren und/oder andere geeignete Verfahren gebildet werden. Es versteht sich, dass ein Planarisierungsprozess, wie beispielsweise ein chemisch-mechanischer Polierprozess (CMP), nach dem Abscheiden der Metall-Gate-Elektrode durchgeführt werden kann.
  • Es ist zu beachten, dass, obwohl in 11B-11D deutlich gezeigt, die Metall-Gate-Struktur 510 in 11-A als weitgehend transparente Struktur gezeigt ist, um die verschiedenen Komponenten, über welchen die die Metall-Gate-Struktur 510 gebildet ist, besser zu veranschaulichen.
  • Wie in der Y-Schnitt-Querschnittansicht der 11C gezeigt, ist die Metall-Gatestruktur 510 so ausgebildet, dass sie die Abstandshalter-Schichten 370, 380, 390 und die Drähte 120 umgibt, wobei die Drähte selbst von den Abstandshalter-Schichten 370 in Umfangsrichtung umgeben sind. Wäre die Abstandshalter-Schicht 390 nicht gebildet worden, dann hätten die von ihnen besetzten Bereiche stattdessen durch die Metall-Gatestrukturen ersetzt werden können, was die parasitäre Kapazität erhöhen könnte. Noch genauer, wäre die Abstandshalter-Schicht 390 nicht gebildet worden, wären die Metall-Gatestruktur 510 und die Source/Drain-Bereiche (d.h. die epi-Schichten) 210 nur durch die Abstandshalter-Schichten 370 und 380 getrennt (siehe Draufsicht der 11D). Im Allgemeinen wird ein Kondensator gebildet, wenn ein dielektrisches Material zwischen zwei leitenden Platten angeordnet ist. Hier kann eine parasitäre Kapazität entstehen, da sich die Metall-Gatestruktur 510 und die epi-Schichten 210 wie die beiden leitenden Platten verhalten und die Abstandshalter-Schichten 370-380 wie das zwischen den beiden Leitern angeordnete dielektrische Material verhalten. Die Kapazität kann nach folgender Gleichung berechnet werden: C = ε * A/d
    Figure DE102019117786B4_0001
    wobei „C“ die Kapazität darstellt, „ε“ die Dielektrizitätskonstante (auch Permittivität genannt) des dielektrischen Materials darstellt, „A“ die Fläche der leitenden Platten darstellt und „d“ den Abstand zwischen den leitenden Platten (d.h. die Dicke des dielektrischen Materials) darstellt.
  • Auf der Basis der Kapazitätsgleichung ist zu erkennen, dass die parasitäre Kapazität der Halbleitervorrichtung 100 hierin umgekehrt mit der Dicke der Abstandshalter-Schichten korreliert. Wäre die Abstandshalter-Schicht 390 nicht gebildet worden, könnte die kombinierte Dicke der Abstandshalter-Schichten 370 und 380 noch zu dünn sein, was den Wert der parasitären Kapazität erhöht. Parasitäre Kapazität kann in einem Wechselstromkontext, z.B. bei hochfrequenten (z.B. Hochfrequenz oder RF) Vorrichtungen, zu einem noch größeren Problem werden, da die Betriebsgeschwindigkeit von Transistoren mit zunehmender parasitärer Kapazität verlangsamt werden kann.
  • Hier wird durch die Implementierung der Abstandshalter-Schicht 390 der Abstand „d“ in den parasitären Kapazitätsberechnungen effektiv „erweitert“, da die Metall-Gatestruktur 510 nun nicht nur durch die Abstandshalter-Schichten 370-380, sondern auch durch die Abstandshalter-Schicht 390 von den Source/Drain-Bereichen (d.h. den epi-Schichten 210) getrennt ist. Die Vergrößerung des Abstandes d senkt die parasitäre Kapazität. Ferner ist die Abstandshalter-Schicht 390 speziell konfiguriert, um eine Low-k Dielektrizitätskonstante aufzuweisen, was auch zur Reduzierung der gesamten parasitären Kapazität beiträgt (da mindestens die Abstandshalter-Schicht 380 eine hohe Dielektrizitätskonstante aufweist).
  • Es gibt mehrere distinkte physikalische Eigenschaften, die der Halbleitervorrichtung 100 zugehörig sind aufgrund des einzigartigen Herstellungsprozessablaufs der vorliegenden Offenbarung. Wie beispielsweise in der Y-Schnitt-Querschnittsseitenansicht der 11C gezeigt, kann jeder der Drähte 120 eine laterale Abmessung 550 aufweisen, die in Y-Richtung gemessen wird. In Ausführungsformen, in denen die Drähte 120 im Wesentlichen rund oder kreisförmig sind, kann die laterale Abmessung 550 als Durchmesser jedes der Drähte 120 angesehen werden.
  • Indes kann jede der Abstandshalter-Schichten 390, die sich zwischen benachbarten Paaren der Abstandshalter-Schichten 380 befinden, eine laterale Abmessung 560 aufweisen, die ebenfalls in Y-Richtung gemessen wird. Gemäß den verschiedenen Aspekten der vorliegenden Offenbarung ist die laterale Abmessung 560 wesentlich kleiner als die laterale Abmessung 550. In einigen Ausführungsformen liegt die laterale Abmessung 560 in einem Bereich zwischen etwa 0,5 Nanometern und etwa 8 Nanometern. Die Tatsache, dass die laterale Abmessung 560 kleiner als die laterale Abmessung 550 ist, zusammen mit dem Wertebereich der lateralen Abmessung 560, sind speziell konfiguriert, um sicherzustellen, dass eine ausreichende Menge der Abstandshalter-Schichten 390 vorhanden ist, um die Reduzierung der parasitären Kapazität zu bewerkstelligen, ohne dabei zu viel von der Abstandshalter-Schichten 390 zu haben, so dass sie den beabsichtigten Transistorbetrieb der Halbleitervorrichtung 100 stören, da die Abstandshalter-Schichten 390 nicht als Teil des Gate-Dielektrikums der Transistoren vorgesehen sind.
  • Ein Abstand 570 trennt auch benachbarte Paare der Drähte 120 in Z-Richtung. Der Abstand 570 wird von den Grenzen der Drähte 120 gemessen. In einigen Ausführungsformen liegt der Abstand 570 in einem Bereich zwischen etwa 4 Nanometern und etwa 10 Nanometern. Dieser Bereich ist konfiguriert, um den Abstandhalter-Schichten 390 ausreichend Platz zur Ausbildung und Verschmelzung zu geben. Weiter im Einzelnen veranschaulichen 12B-12D, 13B-13D, 14B-14D und 15B-15D die X-Schnitt-Querschnittsansichten, Y-Schnitt-Querschnittsansichten und Z-Schnitt-Draufansichten von vier verschiedenen Szenarien. Die Position, an der der Z-Schnitt ausgeführt ist, ist in 12C-15C gezeigt.
  • In einem ersten in 12B-12C gezeigten Szenario ist der vertikale Abstand zwischen den vertikal benachbarten Drähten 120 zu klein (z.B. weniger als etwa 4 Nanometer). Dadurch verschmelzen die Abstandshalter-Schichten 380 vertikal, und es gibt keinen Raum für die Bildung der Abstandshalter-Schichten 390. Dies kann unerwünscht sein, da die Abstandshalter-Schichten 380 High-k dielektrische Materialien umfassen, die die parasitäre Kapazität der Halbleiterstruktur übermäßig erhöhen könnten.
  • In einem zweiten Szenario, das in 13B-13D gezeigt ist, ist der vertikale Abstand zwischen den vertikal benachbarten Drähten 120 größer als in den 12B-12D, kann aber dennoch zu klein sein (z.B. weniger als etwa 4 Nanometer). Dadurch verschmelzen die Abstandshalter-Schichten 380 weiterhin vertikal, und es gibt keinen Raum für die Bildung der Abstandshalter-Schichten 390. Es ist zu beachten, dass aufgrund des größeren vertikalen Abstands zwischen den Drähten 120 die verschmolzenen Abstandshalter-Schichten 380 in dem zweiten Szenario der 13B-13D im Vergleich zu dem ersten Szenario der 12B-12D dünner sein können (in Y-Richtung). Auf jeden Fall ist das Fehlen der Abstandshalter-Schichten 390 weiterhin unerwünscht, da das High-k Dielektrikum der Abstandshalter-Schichten 380 die parasitäre Kapazität der Halbleitervorrichtung 100 übermäßig erhöhen könnte.
  • In einem dritten Szenario, das in 14B-14D gezeigt ist, ist der vertikale Abstand zwischen den vertikal benachbarten Drähten 120 ausreichend groß (z.B. zwischen etwa 4 Nanometern und etwa 10 Nanometern), um die Bildung der Abstandshalter-Schichten 390 zu ermöglichen. Dadurch verschmelzen vertikal benachbarte Abstandshalter-Schichten 390 vertikal miteinander. Dies ist wünschenswert, da die Abstandshalter-Schichten 390 das „d“ in der parasitären Kapazitätsberechnung effektiv erweitert und das Low-k dielektrische Material der Abstandshalter-Schichten 390 nicht übermäßig zur gesamten parasitären Kapazität beitragen würde, wie vorstehend erläutert.
  • In einem vierten Szenario, das in den 15B-15D gezeigt ist, ist der vertikale Abstand zwischen den vertikal benachbarten Drähten 120 noch ausreichend groß (z.B. zwischen etwa 4 Nanometern und etwa 10 Nanometern), um die Bildung der Abstandshalter-Schichten 390 zu ermöglichen, kann aber auch nahe an die gewünschte Obergrenze (z.B. etwa 10 Nanometer) kommen. Wie in den 15C und 15D zu sehen ist, können die vertikal benachbarten Abstandshalter-Schichten 390 zwar vertikal verschmelzen, aber ihre laterale Abmessung (gemessen in Y-Richtung) ist dünner als das dritte Szenario. Mit anderen Worten kann das vierte Szenario noch die Vorteile der parasitären Kapazitätsreduzierung bereitstellen, aber wenn der vertikale Abstand zwischen vertikal benachbarten Drähten 120 viel größer wird, dann könnten auch die Abstandshalter-Schichten 390 nicht vertikal verschmelzen, was die oben diskutierten erwarteten Vorteile untergraben könnte.
  • Mit Bezug auf 11D ist eine weitere einzigartige physikalische Eigenschaft der vorliegenden Offenbarung, dass jede der Abstandshalter-Schichten 390 in der Draufsicht ein knochenähnliches Profil aufweisen kann. Wie in einer vergrößerten Ansicht der Draufsicht der Abstandshalter-Schicht 390 gezeigt, kann die Abstandshalter-Schicht 390 einen ersten Endabschnitt 390A, einen zweiten Endabschnitt 390B (gegenüber dem ersten Endabschnitt 390A) und einen Mittelabschnitt 390C umfassen, der die Endabschnitte 390A und 390B miteinander verbindet. Die Endabschnitte 390A und 390B können eine in Y-Richtung gemessene Abmessung 600 aufweisen, während der Mittelabschnitt 390C eine in Y-Richtung gemessene Abmessung 610 aufweisen kann. Die Abmessung 610 ist aufgrund der durchgeführten Abstandshalter-Ätzprozesse 400 wesentlich kleiner als die Abmessung 600. Beispielsweise kann ein Verhältnis zwischen der Abmessung 610 und der Abmessung 600 in einem Bereich zwischen etwa 1:8 und etwa 1:25 liegen. Der Verhältnisbereich ist so konfiguriert, dass die Abstandshalter-Schichten 390 nach den Abstandhalter-Ätzprozessen 400 ausreichend breit sein können, um ihren Zweck zu erfüllen, als zusätzliches dielektrisches Material in einem Kondensator zu wirken, um die parasitäre Kapazität zu reduzieren, während sie nicht zu dick sind, um die beabsichtigten Funktionen des Gate-Dielektrikums von Transistoren zu stören.
  • 16 ist ein Flussdiagramm, das ein Verfahren 800 zur Herstellung einer Halbleiterstruktur, beispielsweise einer GAA-Vorrichtung, veranschaulicht. Das Verfahren 800 umfasst einen Schritt 810 des Ausbildens eines Stapels aus ersten Halbleiterschichten und zweiten Halbleiterschichten. Die ersten Halbleiterschichten und die zweiten Halbleiterschichten haben eine unterschiedliche Materialzusammensetzung und wechseln innerhalb des Stapels ab.
  • Das Verfahren 800 umfasst einen Schritt 820 des Ausbildens einer Dummy-Gatestruktur über dem Stapel. Die Dummy-Gatestruktur umwickelt die Ober- und Seitenflächen des Stapels.
  • Das Verfahren 800 umfasst einen Schritt 830 des Wachsens von Source/Drain auf gegenüberliegenden Seiten der Dummy-Gatestruktur.
  • Das Verfahren 800 umfasst einen Schritt 840 des Ausbildens eines ZwischenschichtDielektrikums (ILD) über den Quelle/Drains.
  • Das Verfahren 800 umfasst einen Schritt 850 des Entfernens der Dummy-Gatestruktur.
  • Das Verfahren 800 umfasst einen Schritt 860 des Durchführens eines Draht-Trennprozesses zum Entfernen der ersten Halbleiterschichten.
  • Das Verfahren 800 umfasst einen Schritt 870 des Abscheidens erster Abstandshalter-Schichten auf den zweiten Halbleiterschichten.
  • Das Verfahren 800 umfasst einen Schritt 880 des Abscheidens zweiter Abstandshalter-Schichten auf den ersten Abstandshalter-Schichten.
  • Das Verfahren 800 umfasst einen Schritt 890 des Abscheidens dritter Abstandshalter-Schichten auf den zweiten Abstandshaltern.
  • In einigen Ausführungsformen werden die zweiten Abstandshalter-Schichten gebildet, um die ersten Abstandshalter-Schichten in Umfangsrichtung zu umwickeln, die dritten Abstandshalter-Schichten werden gebildet, um die zweiten Abstandshalter-Schichten in Umfangsrichtung zu umwickeln, und die dritten Abstandshalter-Schichten, die vertikal benachbart sind, um miteinander zu verschmelzen. In einigen Ausführungsformen umfasst das Verfahren 800 ferner einen Schritt des Ätzens der dritten Abstandshalter-Schichten, so dass eine Mehrzahl von verbleibenden Abschnitten der dritten Abstandshalter-Schichten die zweiten Abstandshalter-Schichten nicht mehr in Umfangsrichtung umwickeln, sondern die verbleibenden Abschnitte der dritten Abstandshalter-Schichten zwischen benachbarten zweiten Abstandshalter-Schichten verbleiben.
  • In einigen Ausführungsformen sind die zweiten Halbleiterschichten so ausgebildet, dass sie sich jeweils in eine erste horizontale Richtung erstrecken. Nachdem der Draht-Trennprozess durchgeführt wurde, weist jede der zweiten Halbleiterschichten eine erste Abmessung auf, die in einer zweiten horizontalen Richtung gemessen wird, die senkrecht zu der ersten horizontalen Richtung steht. Die übrigen Abschnitte der dritten Abstandshalter-Schichten weisen jeweils eine zweite Abmessung auf, die in der zweiten horizontalen Richtung gemessen wird. Die zweite Abmessung ist kleiner als die erste Abmessung.
  • In einigen Ausführungsformen wird das Ätzen der dritten Abstandshalter-Schichten so durchgeführt, dass jeder der verbleibenden Abschnitte der dritten Abstandshalter-Schichten ein knochenähnliches Draufsichtprofil aufweist. In einigen Ausführungsformen umfasst der Draht-Trennprozess einen oder mehrere Ätzprozesse mit einer Ätzselektivität zwischen den ersten Halbleiterschichten und den zweiten Halbleiterschichten. In einigen Ausführungsformen formen die einen oder mehreren Ätzprozesse ein Profil jeder der zweiten Halbleiterschichten zu einem gerundeten Profil um.
  • In einigen Ausführungsformen legt der Draht-Trennprozess Oberflächen der zweiten Halbleiterschichten in Umfangsrichtung frei.
  • In einigen Ausführungsformen umfasst der Schritt 880 des Abscheidens der zweiten Abstandschichten das Abscheiden eines oder mehrerer High-k dielektrischer Materialien als zweite Abstandshalter-Schichten, und der Schritt 890 des Abscheidens der dritten Abstandschichten umfasst das Abscheiden eines oder mehrerer Low-k dielektrischer Materialien als dritte Abstandshalter-Schichten.
  • In einigen Ausführungsformen bildet der Schritt 850 des Entfernens der Dummy-Gatestruktur eine Öffnung an der Stelle der entfernten Dummy-Gatestruktur. Das Verfahren 800 kann ferner einen Schritt des Füllens der Öffnung mit einer funktionalen Gatestruktur umfassen, die eine Metall-Gate-Elektrode umfasst. Die Metall-Gate-Elektrode umgibt die zweiten Abstandshalter-Schichten und die dritten Abstandshalter-Schichten.
  • Es versteht sich, dass zusätzliche Prozesse vor, während oder nach den Schritten 810-890 durchgeführt werden können. Beispielsweise kann das Verfahren 800 Schritte des Ausbildens von Kontaktöffnungen, Kontaktmetall sowie verschiedenen Kontakten, Durchkontaktierungen, Drähten und mehrschichtigen Verbindungsstrukturen (z.B. Metallschichten und Zwischenschicht-Dielektrika) umfassen, um die verschiedenen Merkmale zu verbinden, um eine funktionelle Schaltung zu bilden, die eine oder mehrere Multi-Gate-Vorrichtungen umfassen kann.
  • Zusammenfassend beinhaltet die vorliegende Offenbarung eine Mehrzahl von Herstellungsprozessen zur Herstellung einer GAA-Vorrichtung. Beispielsweise wird eine Mehrzahl von Nanodrähten durch einen Draht-Trennprozess gebildet (z.B. um einen Typ von Halbleiterschichten, aber nicht einen anderen Typ von Halbleiterschichten, in einem Stapel dieser Halbleiterschichten zu entfernen). Eine Mehrzahl von ersten Abstandshalter-Schichten wird auf den Nanodrähten als Grenzflächenschichten der GAA-Vorrichtung gebildet. Eine Mehrzahl von zweiten Abstandshalter-Schichten (z.B. enthaltend High-k dielektrische Materialien) wird auf den ersten Nanodrähten als Gate-Dielektrikum der GAA-Vorrichtung ausgebildet. Eine Mehrzahl von dritten Abstandshalter-Schichten (z.B. enthaltend Low-k dielektrische Materialien) wird auf den zweiten Abstandshalter-Schichten ausgebildet. Ein oder mehrere Ätzprozesse können dann durchgeführt werden, um die dritten Abstandshalter-Schichten teilweise zu entfernen, und die verbleibenden Abschnitte der dritten Abstandshalter-Schichten sind zwischen den zweiten Abstandshalter-Schichten angeordnet und können vertikal miteinander verschmelzen. Anschließend kann eine Metall-Gatestruktur gebildet werden, die die Nanodrähte umgibt, und genauer gesagt, die zweiten Abstandshalter-Schichten und die dritten Abstandshalter-Schichten umgibt.
  • Basierend auf den vorstehenden Diskussionen ist zu erkennen, dass die Ausführungsformen der vorliegenden Offenbarung Vorteile gegenüber herkömmlichen Halbleitervorrichtungen bereitstellen. Es versteht sich jedoch, dass kein besonderer Vorteil erforderlich ist, andere Ausführungsformen andere Vorteile bieten können, und dass nicht unbedingt alle Vorteile hierin offenbart sind. Ein Vorteil ist die Reduzierung der parasitären Kapazität. Wie vorstehend diskutiert können bei herkömmlichen GAA-Vorrichtungen die einzigen dielektrischen Materialien zwischen dem Gate und der Source/Drain die Grenzflächenschicht und das High-k-Gate-Dielektrikum sein. Selbst die kombinierte Dicke der Grenzflächenschicht und des High-k-Gate-Dielektrikums kann noch zu dünn sein, was ungünstigerweise die parasitäre Kapazität erhöht, da die parasitäre Kapazität umgekehrt mit der Dicke des dielektrischen Materials korreliert. Hier wird durch das Hinzufügen der Abstandhalter-Schichten 390 das dielektrische Material effektiv erweitert, was zur Reduzierung der parasitären Kapazität beiträgt. Die Abstandshalter-Schichten 390 sind auch so konfiguriert, dass sie ein Low-k dielektrisches Material aufweisen, was dazu beiträgt, die parasitäre Kapazität weiter zu reduzieren, da die parasitäre Kapazität mit der gesamten Dielektrizitätskonstante des dielektrischen Materials korreliert. Die Reduzierung der parasitären Kapazität ist besonders nützlich bei Anwendungen mit höherer Frequenz, da die parasitäre Kapazität mit zunehmender Betriebsfrequenz zu einem größeren Problem werden kann. Somit kann die vorliegende Offenbarung die Leistung und/oder Zuverlässigkeit von GAA-Vorrichtungen verbessern. Ein weiterer Vorteil ist, dass die Prozesse der vorliegenden Offenbarung mit dem bestehenden Herstellungsprozessablauf kompatibel sind und einfach und kostengünstig zu implementieren sind.
  • Eine Ausführungsform der vorliegenden Offenbarung betrifft eine Halbleitervorrichtung. Die Halbleitervorrichtung umfasst: eine Mehrzahl von Nanostrukturen, wobei die Nanostrukturen jeweils ein Halbleitermaterial enthalten; eine Mehrzahl von ersten Abstandshaltern, die die Nanostrukturen in Umfangsrichtung umwickeln; eine Mehrzahl von zweiten Abstandshaltern, die die ersten Abstandshalter in Umfangsrichtung umwickeln; eine Mehrzahl von dritten Abstandshaltern, die vertikal zwischen den zweiten Abstandshaltern angeordnet sind; und eine Gatestruktur, die die zweiten Abstandshalter und die dritten Abstandshalter umgibt.
  • Eine weitere Ausführungsform der vorliegenden Offenbarung betrifft eine Halbleitervorrichtung. Die Halbleitervorrichtung umfasst: eine Mehrzahl von Halbleiterschichten, die sich jeweils in einer ersten horizontalen Richtung erstrecken, wobei die Halbleiterschichten übereinander in einer vertikalen Richtung angeordnet sind, und wobei jede der Halbleiterschichten eine erste Abmessung aufweist, die in einer zweiten horizontalen Richtung senkrecht zur ersten horizontalen Richtung gemessen wird; eine Mehrzahl von Grenzflächenschichten, wobei jede der Grenzflächenschichten in einer Querschnittsansicht eine jeweilige Halbleiterschicht umgibt; eine Mehrzahl von High-k dielektrischen Schichten, wobei jede der High-k dielektrischen Schichten in der Querschnittsansicht eine jeweilige Grenzflächenschicht in Umfangsrichtung umgibt; eine Mehrzahl von Low-k dielektrischen Schichten, wobei jede der Low-k dielektrischen Schichten zwischen zwei vertikal benachbarten High-k dielektrischen Schichten angeordnet ist, wobei jede der Low-k dielektrischen Schichten eine zweite Abmessung aufweist, die in der zweiten horizontalen Richtung gemessen wird, und wobei die zweite Abmessung kleiner als die erste Abmessung ist; und eine Gatestruktur, die die High-k dielektrischen Schichten und die Low-k dielektrischen Schichten umgibt.
  • Noch eine weitere Ausführungsform der vorliegenden Offenbarung umfasst ein Verfahren zur Herstellung einer Halbleitervorrichtung. Ein Stapel von ersten Halbleiterschichten und zweiten Halbleiterschichten wird ausgebildet. Die ersten Halbleiterschichten und die zweiten Halbleiterschichten haben eine unterschiedliche Materialzusammensetzung und wechseln innerhalb des Stapels ab. Über dem Stapel wird eine Dummy-Gatestruktur gebildet. Die Dummy-Gatestruktur umgibt die Ober- und Seitenflächen des Stapels. Source/Drains werden auf gegenüberliegenden Seiten der Dummy-Gatestruktur aufgewachsen. Ein Zwischenschicht-Dielektrikum (ILD) wird über den Quelle/Drains gebildet. Die Dummy-Gatestruktur wird entfernt. Nachdem die Dummy-Gatestruktur entfernt wurde, wird ein Nanostruktur-Trennprozess durchgeführt, um die ersten Halbleiterschichten zu entfernen. Nach der Durchführung des Nanostruktur-Trennprozesses werden erste Abstandshalter-Schichten auf die zweiten Halbleiterschichten abgeschieden. Zweite Abstandshalter-Schichten werden auf den ersten Abstandshalter-Schichten abgeschieden. Dritte Abstandshalter-Schichten werden auf den zweiten Abstandshalter-Schichten abgeschieden.

Claims (17)

  1. Halbleitervorrichtung, aufweisend: eine Mehrzahl von Nanostrukturen (120), wobei die Nanostrukturen jeweils ein Halbleitermaterial enthalten; eine Mehrzahl von ersten Abstandshaltern (370), die die Nanostrukturen in Umfangsrichtung umwickeln; eine Mehrzahl von zweiten Abstandshaltern (380), die die ersten Abstandshalter in Umfangsrichtung umwickeln; eine Mehrzahl von dritten Abstandshaltern (390), die vertikal zwischen den zweiten Abstandshaltern angeordnet sind; und eine Gate-Struktur (510), die die zweiten Abstandshalter und die dritten Abstandshalter umgibt, wobei: die ersten Abstandhalter (370) ein Oxidmaterial aufweisen; die zweiten Abstandhalter (380) ein High-k dielektrisches Material aufweisen; und die dritten Abstandshalter (390) ein Low-k dielektrisches Material aufweisen.
  2. Halbleitervorrichtung nach Anspruch 1, wobei: die Nanostrukturen (120) sich jeweils in eine erste Richtung erstrecken; die Nanostrukturen jeweils eine erste laterale Abmessung aufweisen, die in einer zweiten Richtung gemessen wird, welche senkrecht zur ersten Richtung ist; die dritten Abstandshalter (390) jeweils eine zweite laterale Abmessung aufweisen, die in der zweiten Richtung gemessen wird; und die zweite laterale Abmessung kleiner als die erste laterale Abmessung ist.
  3. Halbleitervorrichtung nach Anspruch 2, wobei: die Nanostrukturen (120) übereinander in einer dritten Richtung angeordnet sind, die orthogonal zu einer Ebene ist, welche durch die erste Richtung und die zweite Richtung definiert ist; und die Nanostrukturen (120) durch Abschnitte der ersten Abstandshalter (370), Abschnitte der zweiten Abstandshalter (380) und Abschnitte der dritten Abstandshalter (390) in der dritten Richtung voneinander getrennt sind.
  4. Halbleitervorrichtung nach einem der vorangehenden Ansprüche, ferner aufweisend: einen ersten Source/Drain-Bereich (210) und einen zweiten Source/Drain-Bereich (210), wobei die Mehrzahl von Nanostrukturen (120), die Mehrzahl von ersten Abstandshaltern (370), die Mehrzahl von zweiten Abstandshaltern (380) und die Mehrzahl von dritten Abstandshaltern (390) zwischen dem ersten Source/Drain-Bereich und dem zweiten Source/Drain-Bereich angeordnet sind.
  5. Halbleitervorrichtung nach Anspruch 4, wobei Seitenwände des ersten Source/Drain-Bereichs (120) und des zweiten Source/Drain-Bereichs (120) in direktem Kontakt mit den ersten Abstandshaltern (370) stehen.
  6. Halbleitervorrichtung nach einem der vorangehenden Ansprüche, wobei jeder der dritten Abstandshalter in einer Draufsicht eine knochenartige Form aufweist.
  7. Halbleitervorrichtung, aufweisend: eine Mehrzahl von Halbleiterschichten (110,120), die sich jeweils in einer ersten horizontalen Richtung erstrecken, wobei die Halbleiterschichten übereinander in einer vertikalen Richtung angeordnet sind, und wobei jede der Halbleiterschichten eine erste Abmessung aufweist, die in einer zweiten horizontalen Richtung senkrecht zu der ersten horizontalen Richtung gemessen wird; eine Mehrzahl von Grenzflächenschichten, wobei jede der Grenzflächenschichten eine jeweilige Halbleiterschicht in Umfangsrichtung in einer Querschnittsansicht umgibt; eine Mehrzahl von High-k dielektrischen Schichten, wobei jede der High-k dielektrischen Schichten eine jeweilige Grenzflächenschicht in Umfangsrichtung in der Querschnittsansicht umgibt; eine Mehrzahl von Low-k dielektrischen Schichten, wobei jede der Low-k dielektrischen Schichten zwischen zwei High-k dielektrischen Schichten angeordnet ist, die vertikal benachbart sind, wobei jede der Low-k dielektrischen Schichten eine zweite Abmessung aufweist, die in der zweiten horizontalen Richtung gemessen wird, und wobei die zweite Abmessung kleiner als die erste Abmessung ist; und eine Gate-Struktur (510), die die High-K dielektrischen Schichten und die Low-K dielektrischen Schichten umgibt.
  8. Halbleitervorrichtung nach Anspruch 7, wobei: jede der High-k dielektrischen Schichten ein Draufsichtprofil aufweist, das einen ersten Endabschnitt, einen dem ersten Endabschnitt gegenüberliegenden zweiten Endabschnitt und einen Mittelabschnitt zwischen dem ersten Endabschnitt und dem zweiten Endabschnitt aufweist; und der erste Endabschnitt und der zweite Endabschnitt breiter als der mittlere Abschnitt sind.
  9. Verfahren, umfassend: Ausbilden eines Stapels aus ersten Halbleiterschichten (110) und zweiten Halbleiterschichten (120), wobei die ersten Halbleiterschichten und die zweiten Halbleiterschichten eine unterschiedliche Materialzusammensetzung aufweisen und sich innerhalb des Stapels abwechseln; Ausbilden einer Dummy-Gate-Struktur (160) über dem Stapel, wobei die Dummy-Gate-Struktur Ober- und Seitenflächen des Stapels umwickelt; Aufwachsen von Source/Drains (210) auf gegenüberliegenden Seiten der Dummy-Gate-Struktur; Ausbilden eines Zwischenschichtdielektrikums (ILD) (230) über den Source/Drains; Entfernen der Dummy-Gate-Struktur; nach dem Entfernen der Dummy-Gate-Struktur, Durchführen eines Nanostruktur-Trennprozesses zum Entfernen der ersten Halbleiterschichten (110); nach dem Durchführen des Nanostruktur-Trennprozesses, Abscheiden erster Abstandshalter-Schichten (370) auf den zweiten Halbleiterschichten; Abscheiden zweiter Abstandshalter-Schichten (380) auf den ersten Abstandshalter-Schichten; und Abscheiden dritter Abstandhalter-Schichten (390) auf den zweiten Abstandhalter-Schichten; wobei: das Abscheiden der zweiten Abstandhalter-Schichten (380) das Abscheiden eines oder mehrerer High-k dielektrischer Materialien als zweite Abstandshalter-Schichten umfasst; und das Abscheiden der dritten Abstandshalter-Schichten (390) das Abscheiden eines oder mehrerer Low-k dielektrischer Materialien als dritte Abstandshalter-Schichten umfasst.
  10. Verfahren nach Anspruch 9, ferner umfassend: Ätzen der dritten Abstandshalter-Schichten (390), so dass eine Mehrzahl von verbleibenden Abschnitten der dritten Abstandshalter-Schichten die zweiten Abstandshalter-Schichten (380) nicht mehr in Umfangsrichtung umwickeln, sondern die verbleibenden Abschnitte der dritten Abstandshalter-Schichten zwischen benachbarten zweiten Abstandshalter-Schichten verbleiben.
  11. Verfahren nach Anspruch 10, wobei: die zweiten Halbleiterschichten (120) gebildet werden, um jeweils sich in eine erste horizontale Richtung zu erstrecken; nach dem Durchführen des Nanostruktur-Trennprozesses jede der zweiten Halbleiterschichten eine erste Abmessung aufweist, die in einer zweiten horizontalen Richtung gemessen wird, die senkrecht zu der ersten horizontalen Richtung steht; die verbleibenden Abschnitte der dritten Abstandshalter-Schichten (390) jeweils eine zweite Abmessung aufweisen, die in der zweiten horizontalen Richtung gemessen wird; und die zweite Abmessung kleiner als die erste Abmessung ist.
  12. Verfahren nach Anspruch 10 oder 11, wobei das Ätzen so durchgeführt wird, dass jeder der verbleibenden Abschnitte der dritten Abstandshalter-Schichten (390) ein knochenartiges Draufsichtprofil aufweist.
  13. Verfahren nach Anspruch 10, 11 oder 12, wobei der Nanostruktur-Trennprozess ein oder mehrere Ätzverfahren mit einer Ätzselektivität zwischen den ersten Halbleiterschichten (110) und den zweiten Halbleiterschichten (120) umfasst.
  14. Verfahren nach Anspruch 13, wobei das eine oder die mehreren Ätzverfahren ein Profil jeder der zweiten Halbleiterschichten (120) in ein gerundetes Profil umformen.
  15. Verfahren nach einem der Ansprüche 9 bis 14, wobei der Nanostruktur-Trennprozess Oberflächen der zweiten Halbleiterschichten (120) in Umfangsrichtung freilegt.
  16. Verfahren nach einem der Ansprüche 9 bis 15, wobei: die zweiten Abstandshalter-Schichten gebildet werden, um die ersten Abstandshalter-Schichten in Umfangsrichtung zu umwickeln; die dritten Abstandshalter-Schichten gebildet werden, um die zweiten Abstandshalter-Schichten in Umfangsrichtung zu umwickeln; und die dritten Abstandshalter-Schichten, die vertikal benachbart sind, miteinander verschmelzen.
  17. Verfahren nach einem der Ansprüche 9 bis 16, wobei das Entfernen der Dummy-Gate-Struktur (160) eine Öffnung an der Stelle der entfernten Dummy-Gate-Struktur bildet, und wobei das Verfahren ferner umfasst: Füllen der Öffnung nach dem Abscheiden mit einer funktionalen Gate-Struktur (510), die eine Metall-Gate-Elektrode umfasst, wobei die Metall-Gate-Elektrode die zweiten Abstandshalter-Schichten (380) und die dritten Abstandshalter-Schichten (390) umgibt.
DE102019117786.3A 2018-09-28 2019-07-02 Reduzierung der parasitären kapazität für gate-all-around-vorrichtung durch bildung zusätzlicher innerer abstandshalter Active DE102019117786B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862738121P 2018-09-28 2018-09-28
US62/738,121 2018-09-28
US16/446,312 2019-06-19
US16/446,312 US11069793B2 (en) 2018-09-28 2019-06-19 Reducing parasitic capacitance for gate-all-around device by forming extra inner spacers

Publications (2)

Publication Number Publication Date
DE102019117786A1 DE102019117786A1 (de) 2020-04-02
DE102019117786B4 true DE102019117786B4 (de) 2022-05-12

Family

ID=69781287

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019117786.3A Active DE102019117786B4 (de) 2018-09-28 2019-07-02 Reduzierung der parasitären kapazität für gate-all-around-vorrichtung durch bildung zusätzlicher innerer abstandshalter

Country Status (2)

Country Link
US (1) US20230369458A1 (de)
DE (1) DE102019117786B4 (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220238442A1 (en) 2021-01-27 2022-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing thereof

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140001441A1 (en) 2012-06-29 2014-01-02 Seiyon Kim Integration methods to fabricate internal spacers for nanowire devices
US20170186846A1 (en) 2015-12-28 2017-06-29 Qualcomm Incorporated Nanowire device with reduced parasitics

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8298881B2 (en) * 2010-06-28 2012-10-30 International Business Machines Corporation Nanowire FET with trapezoid gate structure
US9391176B2 (en) * 2014-10-23 2016-07-12 Globalfoundries Inc. Multi-gate FETs having corrugated semiconductor stacks and method of forming the same
KR20160059861A (ko) * 2014-11-19 2016-05-27 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9425259B1 (en) * 2015-07-17 2016-08-23 Samsung Electronics Co., Ltd. Semiconductor device having a fin
US9716142B2 (en) * 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
CN106960870B (zh) * 2016-01-11 2021-09-10 三星电子株式会社 半导体装置及其制造方法
KR102476143B1 (ko) * 2016-02-26 2022-12-12 삼성전자주식회사 반도체 장치
KR102413782B1 (ko) * 2016-03-02 2022-06-28 삼성전자주식회사 반도체 장치
KR20170135115A (ko) * 2016-05-30 2017-12-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10170374B2 (en) * 2017-03-23 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140001441A1 (en) 2012-06-29 2014-01-02 Seiyon Kim Integration methods to fabricate internal spacers for nanowire devices
US20170186846A1 (en) 2015-12-28 2017-06-29 Qualcomm Incorporated Nanowire device with reduced parasitics

Also Published As

Publication number Publication date
US20230369458A1 (en) 2023-11-16
DE102019117786A1 (de) 2020-04-02

Similar Documents

Publication Publication Date Title
DE102019126237B4 (de) Dielektrische finnen mit unterschiedlichen dielektrizitätskonstanten und grössen in unterschiedlichen zonen einer halbleitervorrichtung
DE102013220852B4 (de) Integrierte Schaltungen und Verfahren zum Herstellen von integrierten Schaltungen mit Metall-Gate-Elektroden
DE102020207610A1 (de) Gate-all-around-feldeffekttransistor mit robusten inneren abstandshaltern und verfahren
DE102017126416A1 (de) FET mit negativer Kapazität mit verbessertem Zuverlässigkeitsverhalten
DE102014019360B4 (de) Halbleiterstruktur und ihr herstellungsverfahren
DE102020125837A1 (de) Kapazitätsreduzierung für eine vorrichtung mit einer rückseitigen leistungsversorgungsschiene
DE102016100049A1 (de) Verfahren und Struktur für Halbleitermid-End-Of-Line- (MEOL) -Prozess
DE102018100297A1 (de) FinFET-Bauelemente mit eingebetteten Luftspalten und ihre Fertigung
DE102016114869A1 (de) Halbleitervorrichtung und Verfahren zu deren Herstellung
DE102020111602B4 (de) Mehr-gate-vorrichtungen und gate-strukturierungsprozess dafür
DE102019115937A1 (de) Verfahren zur herstellung einer halbleitervorrichtung und halbleitervorrichtung
KR20200037083A (ko) 잔여 내부 스페이서 형성에 의한 게이트 올 어라운드 디바이스의 기생 용량 감소
DE102020135005A1 (de) Drainseitige vertiefung für vorrichtung mit rückseitiger stromschiene
DE102019128703A1 (de) Halbleitervorrichtung und herstellungsverfahren
DE102019126920A1 (de) Verfahren zum herstellen einer halbleitervorrichtung und eine halbleitervorrichtung
DE102019215248B4 (de) Finfet mit isolierenden schichten zwischen dem gate und source/drain-kontakten sowie verfahren zu seiner herstellung
DE102020203501A1 (de) Metallgate für einen feldeffekttransistor und verfahren
DE102019210597B4 (de) Verfahren zum Bilden von Abstandhaltern neben Gatestrukturen einer Transistorvorrichtung und integriertes Schaltungsprodukt
DE102018108821A1 (de) Verfahren zur herstellung einer halbleitervorrichtung, und halbleitervorrichtung
DE102020127584B4 (de) Dreidimensionale speichervorrichtung mit ferroelektrischemmaterial
DE102018103075B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung und eine Halbleitervorrichtung
DE102020131140A1 (de) Gateisolierungsstruktur
DE102019133933A1 (de) Neuartige struktur für metall-gate-elektrode und herstellungsverfahren
DE102021109770B4 (de) Hybrid-halbleitervorrichtung
DE102014019447A1 (de) Verfahren zur Herstellung von integrierten Halbleiterschaltungen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0029775000

Ipc: H01L0029780000

R018 Grant decision by examination section/examining division
R020 Patent grant now final