DE102015114405A1 - Halbleitervorrichtung mit sich durch eine zwischenschicht erstreckenden kontaktstrukturen und herstellungsverfahren - Google Patents

Halbleitervorrichtung mit sich durch eine zwischenschicht erstreckenden kontaktstrukturen und herstellungsverfahren Download PDF

Info

Publication number
DE102015114405A1
DE102015114405A1 DE102015114405.0A DE102015114405A DE102015114405A1 DE 102015114405 A1 DE102015114405 A1 DE 102015114405A1 DE 102015114405 A DE102015114405 A DE 102015114405A DE 102015114405 A1 DE102015114405 A1 DE 102015114405A1
Authority
DE
Germany
Prior art keywords
layer
structures
auxiliary
dielectric material
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE102015114405.0A
Other languages
English (en)
Inventor
Stefan Tegen
Marko Lemke
Martin Bartels
Rolf Weis
Thomas Bertrams
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies Dresden GmbH and Co KG
Original Assignee
Infineon Technologies Dresden GmbH and Co KG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies Dresden GmbH and Co KG filed Critical Infineon Technologies Dresden GmbH and Co KG
Priority to DE102015114405.0A priority Critical patent/DE102015114405A1/de
Priority to CN201610740670.6A priority patent/CN106571305A/zh
Priority to US15/249,008 priority patent/US20170062276A1/en
Publication of DE102015114405A1 publication Critical patent/DE102015114405A1/de
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0692Surface layout
    • H01L29/0696Surface layout of cellular field-effect devices, e.g. multicellular DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1095Body region, i.e. base region, of DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/408Electrodes ; Multistep manufacturing processes therefor with an insulating layer with a particular dielectric or electrostatic property, e.g. with static charges or for controlling trapped charges or moving ions, or with a plate acting on the insulator potential or the insulator charges, e.g. for controlling charges effect or potential distribution in the insulating layer, or with a semi-insulating layer contacting directly the semiconductor surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66681Lateral DMOS transistors, i.e. LDMOS transistors
    • H01L29/66696Lateral DMOS transistors, i.e. LDMOS transistors with a step of recessing the source electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66681Lateral DMOS transistors, i.e. LDMOS transistors
    • H01L29/66704Lateral DMOS transistors, i.e. LDMOS transistors with a step of recessing the gate electrode, e.g. to form a trench gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7816Lateral DMOS transistors, i.e. LDMOS transistors
    • H01L29/7825Lateral DMOS transistors, i.e. LDMOS transistors with trench gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7835Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with asymmetrical source and drain regions, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Ein Schichtstapel (600) wird auf einer Hauptoberfläche (101a) einer Halbleiterschicht (100a) gebildet, wobei der Schichtstapel (600) eine dielektrische Deckschicht (210a) und eine Metallschicht (310a) zwischen der Deckschicht (210a) und der Halbleiterschicht (100a) umfasst. Zweite Teile (620) des Schichtstapels (600) werden entfernt, um Spalte (611) zwischen zurückbleibenden ersten Teilen (610) zu bilden. Justierstrukturen (220) eines zweiten dielektrischen Materials werden in den Spalten (611) gebildet. Eine Zwischenschicht (230) des ersten oder eines dritten dielektrischen Materials wird gebildet und bedeckt die Justierstrukturen (220) und die ersten Teile (610). Kontakttrenches (301) werden gebildet, die sich durch die Zwischenschicht (230) und die Deckschicht (210a) zu Metallstrukturen (311, 321) erstrecken, die von verbleibenden Teilen der Metallschicht (310a) in den ersten Teilen (610) gebildet sind, wobei die Deckschicht (210a) selektiv gegenüber den Hilfsstrukturen (220) geätzt wird.

Description

  • HINTERGRUND
  • Die Anmeldung bezieht sich auf Halbleitervorrichtungen, wie Leistungshalbleitervorrichtungen, sowie auf Verfahren zum Herstellen von Halbleitervorrichtungen.
  • In IGFETs (Feldeffekttransistoren mit isoliertem Gate) steuert ein an einer Gateelektrode liegendes Gatepotential die Minoritätsladungsträgerverteilung in angrenzenden Kanalteilen, wobei in einem Einschaltzustand des IGFET eine Inversionsschicht von Minoritätsladungsträgern einen leitenden Kanal bildet, durch den ein Laststrom zwischen einem Sourcebereich und einem Drainbereich fließt. Ein Verteilen der Transistorfunktionalität über eine Vielzahl von parallel angeordneten Transistorzellen steigert die Gesamtkanalbreite. Beispielsweise erlaubt ein Lithografieprozess bei einer Belichtungswellenlänge von 193 nm einen Mitte-zu-Mitte-Abstand von 100 nm und weniger zwischen benachbarten streifenförmigen Transistorzellen. Für Transistorzellen mit Source- und Drainbereichen, die von der gleichen Seite kontaktiert sind, schließt ein Vergrößern der Populationsdichte von Transistorzellen ein Schrumpfen von lateralen Abständen zwischen Drainbereichen und Kontakten zu Sourcebereichen sowie zwischen Sourcebereichen und Kontakten zu Drainbereichen ein.
  • Es besteht ein Bedarf, einen Ausgleich zwischen Ausbeute und Zuverlässigkeit für die Herstellung von Halbleitervorrichtungen zu verbessern.
  • ZUSAMMENFASSUNG
  • Die Aufgabe wird durch die Halbleitervorrichtung und das Verfahren zum Herstellen von Halbleitervorrichtungen nach den unabhängigen Patentansprüchen gelöst. Die abhängigen Patentansprüche definieren weitere Ausführungsbeispiele.
  • Gemäß einem Ausführungsbeispiel umfasst ein Verfahren zum Herstellen einer Halbleitervorrichtung ein Bilden eines Schichtstapels auf einer Hauptoberfläche einer Halbleiterschicht. Der Schichtstapel umfasst eine dielektrische Deckschicht und eine Metallschicht zwischen der Deckschicht und der Halbleiterschicht. Zweite Teile des Schichtstapels werden entfernt, um Spalte zwischen verbleibenden ersten Teilen des Schichtstapels zu bilden. Justier- bzw. Einstellungsstrukturen eines zweiten dielektrischen Materials werden in den Spalten gebildet. Eine Zwischenschicht des ersten oder eines dritten dielektrischen Materials wird gebildet, die die Justierstrukturen und die ersten Teile des Schichtstapels bedeckt. Kontakttrenches bzw. -gräben werden gebildet, die sich durch die Zwischenschicht und die Deckschicht zu Metallstrukturen erstrecken, die von zurückbleibenden Teilen der Metallschicht in den ersten Teilen des Schichtstapels gebildet sind, wobei die Deckschicht selektiv gegenüber den Hilfsstrukturen geätzt wird.
  • Gemäß einem anderen Ausführungsbeispiel umfasst eine Halbleitervorrichtung getrennte geschichtete Stapel auf einer ersten Oberfläche eines Halbleiterteiles. Jeder geschichtete Stapel umfasst eine Haube bzw. Kappe eines ersten dielektrischen Materials und eine Metallstruktur zwischen der Haube und dem Halbleiterteil. Hilfsstrukturen eines zweiten dielektrischen Materials sind zwischen benachbarten geschichteten Stapeln. Eine Zwischenschicht des ersten oder eines dritten dielektrischen Materials bedeckt die geschichteten Stapel und die Hilfsstrukturen. Kontaktstrukturen erstrecken sich durch die Zwischenschicht und die Hauben zu den Metallstrukturen in den geschichteten Stapeln, wobei zwischen benachbarten Hilfsstrukturen die Kontaktstrukturen erste Teile aufweisen, die sich durch die Hauben erstrecken.
  • Der Fachmann wird zusätzliche Merkmale und Vorteile nach Lesen der folgenden Detailbeschreibung und Betrachten der begleitenden Zeichnungen erkennen.
  • KURZBESCHREIBUNG DER ZEICHNUNGEN
  • Die begleitenden Zeichnungen sind beigeschlossen, um ein weiteres Verständnis von Ausführungsbeispielen der Erfindung zu liefern, und sie sind in die Offenbarung der Erfindung einbezogen und bilden einen Teil von dieser. Die Zeichnungen veranschaulichen die Ausführungsbeispiele der vorliegenden Erfindung und dienen zusammen mit der Beschreibung zum Erläutern von Prinzipien der Erfindung. Andere Ausführungsbeispiele der Erfindung und beabsichtigte Vorteile werden sofort gewürdigt, da sie unter Hinweis auf die folgende Detailbeschreibung besser verstanden werden.
  • 1A ist eine vertikale Schnittdarstellung durch einen Teil eines Halbleitersubstrates zum Veranschaulichen eines Verfahrens zum Herstellen einer Halbleitervorrichtung gemäß einem Ausführungsbeispiel mittels Hilfsstrukturen, die in Spalten in einem Schichtstapel gebildet sind, nach Bilden einer ersten Maske.
  • 1B ist eine schematische vertikale Schnittdarstellung des Halbleitersubstratteiles von 1A nach Bilden von Spalten in dem Schichtstapel.
  • 1C ist eine schematische vertikale Schnittdarstellung des Halbleitersubstratteiles von 1B nach Bilden von Hilfsstrukturen in den Spalten.
  • 1D ist eine schematische vertikale Schnittdarstellung des Halbleitersubstratteiles von 1C nach Bilden einer zweiten Maske auf einer Zwischenschicht, die die Hilfsstrukturen und die ersten Teile des Schichtstapels bedeckt.
  • 1E ist eine schematische vertikale Schnittdarstellung des Halbleitersubstratteiles von 1D nach Bilden von Kontakttrenches, die sich durch die Zwischenschicht zu Metallstrukturen in den ersten Teilen des Schichtstapels erstrecken.
  • 1F ist eine schematische vertikale Schnittdarstellung des Halbleitersubstratteiles von 1E nach Bilden von Kontaktstrukturen in den Kontakttrenches.
  • 1G ist eine schematische Draufsicht des Halbleitersubstratteiles von 1F gemäß einem Ausführungsbeispiel.
  • 2 ist eine schematische vertikale Schnittdarstellung eines Teiles einer Halbleitervorrichtung gemäß einem Referenz- bzw. Bezugsbeispiel ohne Hilfsstrukturen und Deckschicht zum Erläutern eines für das Verständnis der Ausführungsbeispiele nützlichen Hintergrundes.
  • 3A ist eine schematische Schnittdarstellung eines Teiles eines Halbleitersubstrates zum Veranschaulichen eines Verfahrens zum Herstellen einer Halbleitervorrichtung mit Hilfsstrukturen und einer Schicht mit niedriger Dielektrizitätskonstanten, nach Bilden von Spalten zwischen ersten Teilen eines Schichtstapels.
  • 3B ist eine schematische horizontale Schnittdarstellung des Halbleitersubstratteiles von 3A nach Bilden der Schicht mit niedriger Dielektrizitätskonstante.
  • 3C ist eine schematische horizontale Schnittdarstellung des Halbleitersubstratteiles von 3B nach Bilden der Hilfsstrukturen und einer Zwischenschicht.
  • 3D ist eine schematische horizontale Schnittdarstellung des Halbleitersubstratteiles von 3C nach Bilden von Kontakttrenches, die sich durch die Zwischenschicht zu Metallstrukturen in den ersten Teilen des Schichtstapels erstrecken.
  • 4A ist eine schematische Schnittdarstellung eines Teiles eines Halbleitersubstrates zum Veranschaulichen eines Verfahrens zum Herstellen einer Halbleitervorrichtung mit einer Hilfsstruktur aufgrund einer konformen Hilfsschicht, nach Bilden von Spalten zwischen ersten Teilen eines Schichtstapels.
  • 4B ist eine schematische Schnittdarstellung des Halbleitersubstratteiles von 4A nach Bilden der Hilfsschicht.
  • 4C ist eine schematische Schnittdarstellung des Halbleitersubstratteiles von 4B nach Bilden einer Hilfsschicht, die Leeräume füllt, die in den Spalten nach Ablagerung der Hilfsschicht belassen sind.
  • 4D ist eine schematische Schnittdarstellung des Halbleitersubstratteiles von 4C nach Bilden von Kontakttrenches, die sich durch die Zwischenschicht zu Metallstrukturen in den ersten Teilen des Schichtstapels erstrecken.
  • 5 ist eine schematische Schnittdarstellung eines Teiles einer Halbleitervorrichtung gemäß einem Ausführungsbeispiel, das Transistorzellen mit Source- und Drainzonen, die Seite an Seite angeordnet sind, sowie getrennte Hilfsstrukturen umfasst.
  • 6 ist eine schematische Schnittdarstellung eines Teiles einer Halbleitervorrichtung gemäß einem Ausführungsbeispiel, das Transistorzellen mit den Source- und Drainzellen, die Seite an Seite angeordnet sind, sowie eine Schicht mit niedriger Dielektrizitätskonstanten zwischen Hilfsstrukturen und geschichteten Stapeln einschließlich Metallstrukturen umfasst.
  • 7 ist eine schematische Schnittdarstellung eines Teiles einer Halbleitervorrichtung gemäß einem Ausführungsbeispiel, das Transistorzellen mit den Source- und Drainzonen, die Seite an Seite angeordnet sind, sowie Hilfsstrukturen beruhend auf einer konformen Hilfsschicht umfasst.
  • DETAILBESCHREIBUNG
  • In der folgenden Detailbeschreibung wird Bezug genommen auf die begleitenden Zeichnungen, die einen Teil der Offenbarung bilden und in denen für Veranschaulichungszwecke spezifische Ausführungsbeispiele gezeigt sind, in denen die Erfindung ausgebildet werden kann. Es ist zu verstehen, dass andere Ausführungsbeispiele verwendet und strukturelle oder logische Änderungen gemacht werden können, ohne von dem Bereich der vorliegenden Erfindung abzuweichen. Beispielsweise können Merkmale, die für ein Ausführungsbeispiel veranschaulicht oder beschrieben sind, bei oder im Zusammenhang mit anderen Ausführungsbeispielen verwendet werden, um zu noch einem weiteren Ausführungsbeispiel zu gelangen. Es ist beabsichtigt, dass die vorliegende Erfindung derartige Modifikationen und Veränderungen umfasst. Die Beispiele sind mittels einer spezifischen Sprache beschrieben, die nicht als den Bereich der beigefügten Patentansprüche begrenzend aufgefasst werden sollte. Die Zeichnungen sind nicht maßstabsgetreu und dienen lediglich für Veranschaulichungszwecke. Zur Klarheit sind die gleichen Elemente durch entsprechende Bezugszeichen in den verschiedenen Zeichnungen angegeben, falls nicht etwas anderes festgestellt wird.
  • Die Begriffe ”haben”, ”enthalten”, ”umfassen”, ”aufweisen” und ähnliche Begriffe sind offene Begriffe, und diese Begriffe das Vorhandensein der festgestellten Strukturen, Elemente oder Merkmale an, schließen jedoch zusätzliche Elemente oder Merkmale nicht aus. Die unbestimmten Artikel und die bestimmten Artikel sollen sowohl den Plural als auch den Singular umfassen, falls sich aus dem Zusammenhang nicht klar etwas anderes ergibt.
  • Der Begriff ”elektrisch verbunden” beschreibt eine permanente niederohmige Verbindung zwischen elektrisch verbundenen Elementen, beispielsweise einen direkten Kontakt zwischen den betreffenden Elementen oder eine niederohmige Verbindung über ein Metall und/oder einen hochdotierten Halbleiter. Der Begriff ”elektrisch gekoppelt” umfasst, dass ein oder mehrere dazwischenliegende Elemente, die für eine Signalübertragung geeignet sind, zwischen den elektrisch gekoppelten Elementen vorgesehen sein können, beispielsweise Elemente, die steuerbar sind, um zeitweise eine niederohmige Verbindung in einem ersten Zustand und eine hochohmige elektrische Entkopplung in einem zweiten Zustand vorzusehen.
  • Die Figuren veranschaulichen relative Dotierungskonzentrationen durch Angabe von ”–” oder ”+” nächst zu dem Dotierungstyp ”n” oder ”p”. Beispielsweise bedeutet ”n” eine Dotierungskonzentration, die niedriger als die Dotierungskonzentration eines ”n”-Dotierungsbereiches ist, während ein ”n+”-Dotierungsbereich eine höhere Dotierungskonzentration hat als ein ”n”-Dotierungsbereich. Dotierungsbereiche der gleichen relativen Dotierungskonzentration haben nicht notwendigerweise die gleiche absolute Dotierungskonzentration. Beispielsweise können zwei verschiedene ”n”-Dotierungsbereiche die gleichen oder verschiedene absolute Dotierungskonzentrationen haben.
  • Die 1A bis 1G beziehen sich auf ein Verfahren zum Herstellen einer Halbleitervorrichtung, wobei Hilfsstrukturen zwischen Metallstrukturen lateral Kontakttrenches begrenzen, die die Metallstrukturen freilegen.
  • 1A zeigt ein Halbleitersubstrat 500a, das eine Halbleiterschicht 100a aus einem Halbleitermaterial aufweist. Das Halbleitersubstrat 500a kann ein Halbleiterwafer sein, aus welchem eine Vielzahl von identischen Halbleiterdies bzw. -chips erhalten wird. Das Halbleitermaterial kann kristallines Silizium (Si), Siliziumcarbid (SiC), Germanium (Ge), ein Silizium-Germanium-Kristall (SiGe), Galliumnitrid (GaN), Galliumarsenid (GaAs) oder irgendein anderer AIIIBV-Halbleiter als Beispiel sein.
  • Eine Senkrechte zu einer planaren Hauptoberfläche 101a der Halbleiterschicht 100a definiert eine vertikale Richtung. Richtungen orthogonal zu der vertikalen Richtung sind horizontale Richtungen.
  • In der Halbleiterschicht 100a sind erste und zweite leitende Strukturen 110, 120 gebildet, die stark dotierte einkristalline oder polykristalline halbleitende Teile der Strukturen einschließlich Metallen oder leitenden Metallverbindungen sein oder solche umfassen können.
  • Eine Metallschicht 310a wird über der Hauptoberfläche 101a aufgetragen bzw. abgeschieden, und eine dielektrische Deckschicht 210a wird über der Metallschicht 310a gebildet.
  • Eine erste Maskenschicht kann auf einem Schichtstapel 600 aufgetragen werden, der wenigstens die Metallschicht 310a und die Deckschicht 210a umfasst. Die erste Maskenschicht wird durch Fotolithografie strukturiert, um eine erste Maske 410 zu bilden.
  • 1A zeigt die erste Maske 410, die auf den Schichtstapel 600 gebildet ist, der die Hauptoberfläche 101a der Halbleiterschicht 100a bedeckt, die die ersten und zweiten leitenden Strukturen 110, 120 sowie Isolatorstrukturen 190 umfasst, die benachbarte erste und zweite leitende Strukturen 110, 120 voneinander trennen und isolieren.
  • Die ersten und zweiten leitenden Strukturen 110, 120 können elektrisch mit verschiedenen Elektroden von elektronischen Elementen verbunden sein, die in der Halbleiterschicht 100a gebildet sind. Beispielsweise können die ersten leitenden Strukturen 110 Sourcezonen oder Sourcestöpsel, die mit Sourcezonen eines IGFET verbunden sind, sein, und die zweiten leitenden Strukturen 120 können Drainzonen oder Drainstöpsel sein, die mit den Drainzonen des IGFET verbunden sind. Gemäß anderen Ausführungsbeispielen können die ersten leitenden Strukturen 110 Emitterzonen oder Emitterstöpsel sein, die mit Emitterzonen eines BJT (bipolarter Junctiontransistor bzw. Übergangstransistor) verbunden sind, und die zweiten leitenden Strukturen 120 können Kollektorzonen oder Kollektorstöpsel sein, die mit den Kollektorzonen des BJT verbunden sind. Gemäß weiteren Ausführungsbeispielen können die ersten leitenden Strukturen 110 Anodenzonen oder Anodenelektroden sein, und die zweiten leitenden Strukturen 120 können Kathodenzonen oder Kathodenelektroden einer Halbleiterdiode oder eines Kondensators, wenigstens teilweise in der Halbleiterschicht 100a gebildet, sein. Wenigstens einige der ersten und zweiten leitenden Strukturen 110, 120 können sich längs wenigstens einer lateralen Richtung oder längs zwei lateralen Richtungen abwechseln.
  • Die Isolatorstrukturen 190 trennen und isolieren benachbarte erste und zweite leitende Strukturen 110, 120 voneinander. Die Isolatorstrukturen 190 können vollständig aus einem oder mehreren dielektrischen Materialien gebildet sein oder können dielektrische, halbleitende und/oder leitende Strukturen zusätzlich zu dielektrischen Strukturen umfassen. Gemäß einem Ausführungsbeispiel sind die Isolatorstrukturen 190 homogene Strukturen eines einzigen dielektrischen Materials, beispielsweise eines Halbleiteroxids, wie Siliziumoxid, eine Halbleiteroxinitrids, wie Siliziumoxinitrid, eines Halbleiternitrids, wie Siliziumnitrid, eines undotierten oder dotierten Silikatglases, wie BSG (Borsilikatglas, PSG (Phosphorsilikatglas), BPSG (Borphosphorsilikatglas) oder FSG (Fluorsilikatglas). Gemäß anderen Ausführungsbeispielen umfassen die Isolatorstrukturen zwei oder mehr Schichten von verschiedenen Materialien, wobei wenigstens eines der Materialien ein dielektrisches Material ist. Beispielsweise können die Isolatorstrukturen 190 Trenchelektrodenstrukturen sein, die eine leitende Elektrode umfassen, die von dem Halbleitermaterial der Halbleiterschicht 100a isoliert ist.
  • Der Schichtstapel 600 umfasst wenigstens die dielektrische Deckschicht 210a und die Metallschicht 310a, die zwischen der Deckschicht 210a und der Halbleiterschicht 100a gebildet ist. Gemäß dem dargestellten Ausführungsbeispiel ist die Metallschicht 310a sandwichartig zwischen der Deckschicht 210a und der Halbleiterschicht 100a und grenzt direkt an die Deckschicht 210a und die Halbleiterschicht 100a, also beide Schichten, an. Gemäß anderen Ausführungsbeispielen kann der Schichtstapel 600 eine oder mehrere weitere Schichten zwischen der Deckschicht 210a und der Metallschicht 310a und/oder zwischen der Metallschicht 310a und der Halbleiterschicht 100a aufweisen.
  • Wenigstens ein Hauptteil der Deckschicht 210a oder die gesamte Deckschicht 210a ist aus einem ersten dielektrischen Material gebildet, das eine niedrige Dielektrizitätskonstante er von weniger als 4,5 oder 4,0 haben kann, und dessen Ätzeigenschaften nahe bei aufgetragenem Siliziumoxid sind. Beispielsweise kann die Deckschicht 210a eine einzelne Schicht oder eine Kombination von wenigstens zwei Schichten sein, deren jede aus aufgetragenem bzw. niedergeschlagenem Siliziumoxid, beispielsweise TEOS-Siliziumoxid, beruhend auf TEOS (Tetraethylorthosilikat) als Percursor- bzw. Vorläufermaterial, Siliziumoxinitrid, BSG, PSG, BPSG oder FSG gewählt ist.
  • Die Metallschicht 310a kann eine Schicht aus stark dotiertem polykristallinem Silizium sein und/oder kann eine oder mehrere Metall enthaltende Schichten aus einem oder mehreren Metallen, wie Aluminium (Al), Kupfer (Cu), Titan (Ti), Wolfram (W), Tantal (Ta), Gold (Au) oder Silber (Ag) umfassen.
  • Die erste Maske 410 kann auf einem Maskenschichtstapel beruhen, der eine Fotolack- bzw. Fotoresistschicht 414 aus einem lichtempfindlichen Material und einer Hilfsmaskenschicht 412 aus einem Material, gegenüber dem das Material der Deckschicht mit hoher Selektivität geätzt werden kann, umfasst. Beispielsweise ist die Deckschicht 210a eine Siliziumoxidschicht, und die Hilfsmaskenschicht 412 ist eine Schicht aus Siliziumnitrid, polykristallinem Silizium, amorphem Silizium oder Kohlenstoff oder umfasst diese Materialien. Erste Maskenöffnungen 411 in der ersten Maske 410 sind in der vertikalen Projektion der Isolatorstrukturen 190 gebildet.
  • Mittels der ersten Maske 410 als eine Ätzmaske werden zweite Teile 620 des Schichtstapels 600 in der vertikalen Projektion der ersten Maskenöffnungen 411 und der ersten Maske 410 entfernt, wobei die Deckschicht 210a als eine Hartmaske zum Strukturieren der Metallschicht 310a verwendet werden kann, so dass die Deckschicht 210 teilweise verbraucht werden kann und eine vertikale Ausdehnung der Deckschicht 210a nach einem Strukturieren des Schichtstapels 600 kleiner sein kann als diejenige der Deckschicht vor Ätzen des Schichtstapels 600.
  • 1B zeigt zurückbleibende erste Teile des Schichtstapels 600 von 1A, die isolierte geschichtete Stapel 610 bilden. Spalte bzw. Lücken 611 in dem Schichtstapel 600 sind in der vertikalen Projektion der Isolatorstrukturen 190 gebildet. Die geschichteten Stapel 610 sind in der vertikalen Projektion der ersten und zweiten leitenden Strukturen 110, 120. Die geschichteten Stapel 610 können mit den Isolatorstrukturen 190 auf wenigstens einer Seite überlappen und/oder die Spalte 611 können mit den ersten und zweiten leitenden Strukturen 110, 120 auf wenigstens einer Seite jeweils bis zu einem gewissen Grad überlappen.
  • In den geschichteten Stapeln 610 bilden Reste der Deckschicht 210a dielektrische Hauben bzw. Kappen 210 auf Resten der Metallschicht 310a, die erste Metallstrukturen 311, die mit den ersten leitenden Strukturen 110 elektrisch verbunden sind oder direkt an diese angrenzen, sowie zweite Metallstrukturen 321 bilden, welche elektrisch mit den zweiten leitenden Strukturen 120 verbunden sind oder direkt an diese angrenzen, bilden. Die geschichteten Stapel 610 können parallele Streifen sein.
  • Hilfsstrukturen 220 sind in den Spalten 611 des Schichtstapels 600 zwischen den geschichteten Stapeln 610 gebildet. Ein Bilden der Hilfsstrukturen 220 kann ein Auftragen bzw. Ablagern eines dielektrischen Materials umfassen, dessen Ätzwiderstandsfähigkeit signifikant von derjenigen des ersten dielektrischen Materials der Hauben 210 abweicht. Ein Bilden der Hilfsstruktur 220 kann ein Auftragen bzw. Abscheiden einer konformen Schicht des zweiten dielektrischen Materials oder einen Spaltfüllprozess umfassen.
  • 1C zeigt die Hilfsstrukturen 220 zwischen den geschichteten Stapeln 610. Die Hilfsstruktur 220 kann vollständig den Raum zwischen benachbarten geschichteten Stapeln 610 füllen oder kann wenigstens teilweise den Raum zwischen den geschichteten Stapeln 610 füllen, wobei in der vertikalen Richtung die Hilfsstruktur 220 sich wenigstens zwischen einer Zwischenfläche zwischen der Haube 210 und den Metallstrukturen 311, 321 und einer Oberfläche der Hauben 210 entgegengesetzt zu den Metallstrukturen 311, 321 erstreckt. Die Hilfsstruktur 220 kann exklusiv zwischen den geschichteten Stapeln 610 gebildet sein. Gemäß einem anderen Ausführungsbeispiel sind die Hilfsstrukturen 220 Teile einer kammähnlichen Struktur, die aus einem Auftragungs- bzw. Ablagerungsprozess resultiert und beide Füllteile zwischen den geschichteten Stapeln 610 und einen Schichtteil über den geschichteten Stapeln 610 umfasst.
  • Eine Zwischenschicht 230 ist über den Hilfsstrukturen 220 und den geschichteten Stapeln 610 aufgetragen. Eine zweite Maskenschicht kann auf einer freiliegenden Oberfläche der Zwischenschicht 230 aufgetragen und durch Fotolithografie strukturiert werden, um eine zweite Maske 420 zu bilden.
  • 1D zeigt die zweite Maske 420 mit zweiten Maskenöffnungen 421 in der vertikalen Projektion der geschichteten Stapel 610, wobei eine horizontale Ausdehnung der zweiten Maskenöffnungen 421 gleich wie oder größer als eine entsprechende horizontale Ausdehnung der geschichteten Stapel 610 sein kann. Die zweite Maske 420 ist bei einer geringen Fehlausrichtung bzw. Fehljustierung dy zwischen zentralen Achsen der zweiten Maskenöffnungen 421 und zentralen Achsen der geschichteten Stapel 610 gezeigt bzw. dargestellt.
  • Die Zwischenschicht 230 kann eine vertikale Ausdehnung in einer Spanne von 100 nm bis 5 μm haben und kann von dem ersten dielektrischen Material 210 oder einem anderen, dritten dielektrischen Material sein, das eine hohe Ätzselektivität gegenüber dem zweiten dielektrischen Material hat, das die Ätzeigenschaften der Hilfsstrukturen 220 festlegt bzw. definiert.
  • Mittels der zweiten Maske 420 als eine Ätzmaske werden Kontakttrenches bzw. -gräben 301 durch die Zwischenschicht 230 und die Hauben 210 herab zu wenigstens einer Oberfläche der ersten und zweiten Metallstrukturen 311, 321 geätzt. Das Ätzen ist hochselektiv gegenüber dem zweiten dielektrischen Material, so dass die Hilfsstrukturen 220 lateral Bodenabschnitte der Kontakttrenches 301 zwischen benachbarten Hilfsstrukturen 220 begrenzen und direkt an die ersten und zweiten Metallstrukturen 311, 321 angrenzen.
  • 1E zeigt die Kontakttrenches 301 bei der Fehlausrichtung dy zwischen den zweiten Maskenöffnungen 421 und den geschichteten Stapeln 610, wie in 1D veranschaulicht ist. Die Hilfsstrukturen 220 gewährleisten, dass ein lateraler Abstand zwischen ersten Metallstrukturen 311 und Kontakttrenches 301 zu zweiten Metallstrukturen 321 sowie zwischen zweiten Metallstrukturen 321 und Kontakttrenches 301 zu ersten Metallstrukturen 311 nicht unter einen Mindestabstand fällt, der durch die laterale Abmessung der Hilfsstrukturen 220 gegeben ist.
  • Die zweite Maske 420 kann entfernt werden, und getrennte erste und zweite Metallstrukturen 310, 320 werden auf der Zwischenschicht 230 gebildet.
  • 1F zeigt die erste Metallstruktur 310, die auf der Zwischenschicht 230 eine erste Metallverdrahtung 318 umfasst, die erste Kontaktstrukturen 315 verbindet, die Kontakttrenches 301 füllen, die erste Metallstrukturen 311 freilegen, sowie die zweiten Metallstrukturen 320, die auf der Zwischenschicht 230 eine zweite Metallverdrahtung 328 umfassen, die zweite Kontaktstrukturen 325 verbindet, welche Kontakttrenches 301 füllen, die zweite Metallstrukturen 321 freilegen.
  • 1G ist eine Draufsicht, die die erste Metallverdrahtung, welche elektrisch die ersten Metallstrukturen 311 durch die ersten Kontaktstrukturen 315 verbindet, und die zweite Metallverdrahtung 328, die elektrisch die zweiten Metallstrukturen 321 durch die zweiten Kontaktstrukturen 325 verbindet, veranschaulicht.
  • Aufgrund einer vertikalen Ausdehnung, die wenigstens 20 oder 50 beispielsweise wenigstens 100 größer als eine vertikale Ausdehnung der ersten und zweiten Metallstrukturen 311, 321 ist, sind die Hilfsstrukturen 220 als eine Schablone wirksam, die das Ätzen der Kontakttrenches 301 in einem bestimmten Grad leitet, die weiterhin einen Mindestabstand zwischen den ersten Kontaktstrukturen 315 und den zweiten Metallstrukturen 321 sowie zwischen den zweiten Kontaktstrukturen 325 und den ersten Metallstrukturen 311 gewährleistet und die einen unteren Grenzwert einer dielektrischen Stärke einer Isolation zwischen den ersten Metallstrukturen 311 und den zweiten Kontaktstrukturen 325 sowie zwischen den zweiten Metallstrukturen 321 und den ersten Kontaktstrukturen 315 sicherstellt. Alternativ oder zusätzlich erlauben die Hilfsstrukturen 220 eine dickere Zwischenschicht 230 und/oder eine größere zulässige Fehlausrichtung bzw. Fehljustierung zwischen den zweiten Maskenöffnungen 421 von 1D und den ersten Maskenöffnungen 411 von 1A.
  • 2 zeigt ein Vergleichsbeispiel ohne Hilfsstruktur 220. Die gleiche Fehlausrichtung dy der zweiten Maske bezüglich der ersten Maske oder die gleiche Fehlausrichtung der ersten und zweiten Kontaktstrukturen 315, 325 von deren Zielpositionen resultiert in einer entsprechenden Fehlausrichtung der ersten und zweiten Kontaktstrukturen 315, 325 bezüglich der ersten und zweiten Metallstrukturen 311, 321. Die Fehlausrichtung dy reduziert direkt einen Mindestabstand dx zwischen den ersten Metallstrukturen 311 und den zweiten Kontaktstrukturen 325. Der Mindestabstand nimmt mit zunehmender Fehlausrichtung ab.
  • Dagegen gewährleisten, wie in 1F gezeigt, die Hilfsstrukturen 220, dass sich ein Mindestabstand zwischen den ersten Metallstrukturen 311 und den zweiten Kontaktstrukturen 325 nicht ändert, solange die Fehlausrichtung dy nicht mehr als eine Hälfte des Abstandes zwischen benachbarten ersten und zweiten Metallstrukturen 311, 321 überschreitet. Der Effekt kann verwendet werden, um weiter den Abstand zwischen den ersten und zweiten Metallstrukturen 311, 321 und zwischen benachbarten Transistorzellen zu schrumpfen und/oder die Spannungsdifferenz zu steigern, die zwischen den ersten und zweiten leitenden Strukturen 110, 120 anlegbar ist.
  • Die Hilfsstrukturen 220 können die Spalte 611 zwischen benachbarten geschichteten Stapeln 610 vollständig füllen. In den folgenden Ausführungsbeispielen werden die Hilfsstrukturen 220 gebildet, um lediglich Teile der Spalten 611 zwischen benachbarten geschichteten Stapeln 610 zu füllen.
  • Gemäß 3A werden geschichtete Stapel 610 von ersten Teilen eines Schichtstapels 600 gebildet, wie dies anhand von 1A und 1B beschrieben ist.
  • Die Hauben bzw. Kappen 210 über den ersten und zweiten Metallstrukturen 311, 321 können mit zunehmendem Abstand zu den Metallstrukturen 311, 321 spitz bzw. kegelförmig zulaufen. Der spitze Winkel bzw. Konuswinkel kann eingestellt werden durch Vergrößern einer isotropen Komponente des Ätzprozesses, der zum Ätzen der dielektrischen Deckschicht 210a von 1A einwirkt.
  • Eine Schicht 221 mit niedriger Dielektrizitätskonstanten kann aufgetragen werden, die teilweise die Spalte 611 zwischen den geschichteten Stapeln 610 füllt. Die Schicht 221 mit niedriger Dielektrizitätskonstanten ist aus einem dielektrischen Material mit einer niedrigen Dielektrizitätskonstanten εr von höchstens 4,5. Das Material der Schicht 221 mit niedriger Dielektrizitätskonstanten kann beispielsweise das gleiche Material wie dasjenige der Hauben bzw. Kappen 210 sein.
  • In 3B ist die Schicht 221 mit niedriger Dielektrizitätskonstanten eine konforme Schicht eines dielektrischen Materials, wie Siliziumoxid, beispielsweise TEOS-Siliziumoxid. Eine Schichtdicke der Schicht 221 mit niedriger Dielektrizitätskonstanten kann höchstens ein Drittel der horizontalen Breite der Spalte 611 sein.
  • Eine zweites dielektrisches Material mit hoher Ätzselektivität gegenüber dem ersten dielektrischen Material wird aufgetragen bzw. abgelagert bzw. abgeschieden. Das zweite dielektrische Material kann die verbleibenden Räume zwischen benachbarten geschichteten Stapeln 610 vollständig füllen. Gemäß einem Ausführungsbeispiel trägt ein Auftragungsprozess Siliziumnitrid auf, das die verbleibenden Räume zwischen den geschichteten Stapeln 610 füllt und das auch die geschichteten Stapel 610 bedecken kann, die durch die Schicht 221 mit niedriger Dielektrizitätskonstanten bedeckt sind.
  • Eine Zwischenschicht 230 des ersten dielektrischen Materials oder eines dritten dielektrischen Materials wird auf eine planare Oberfläche des aufgetragenen zweiten dielektrischen Materials aufgetragen.
  • 3C zeigt die Schicht 221 mit niedriger Dielektrizitätskonstanten, die die geschichteten Stapel 610 bedeckt und die Spalte 611 zwischen benachbarten geschichteten Stapeln 610 auskleidet. Erste Teile des zweiten dielektrischen Material zwischen dem geschichteten Stapel 610 bilden die Hilfsstrukturen 220. Zweite Teile des zweiten dielektrischen Materials über den geschichteten Stapeln 610 bilden eine diskontinuierliche Ätzstoppschicht 222. Die Zwischenschicht 230 ist auf einer planaren Oberfläche der Ätzstoppschicht 222 gebildet.
  • Kontakttrenches bzw. -gräben 301, die die Metallstrukturen 311, 321 freilegen, werden gebildet, beispielsweise durch einen vorherrschend anisotropen Ätzprozess. Mit dem Ätzen der Zwischenschicht 230, das an der Ätzstoppschicht 222 endet, ist der Ätzprozess für die Zwischenschicht 230 unabhängig von einer Topografie der Zwischenschicht 230 und von verschiedenen vertikalen Ausdehnungen der Zwischenschicht 230. Aufgrund der hohen Ätzselektivität zwischen der Zwischenschicht 230 und der Ätzstoppschicht 222 kann ein langes Überätzen der Zwischenschicht 230 verschiedene vertikale Ausdehnungen der Zwischenschicht in verschiedenen Bereichen des Halbleitersubstrates 500a kompensieren. Ein Ätzen der Ätzstoppschicht 222 kann zeitgesteuert sein oder kann ein Stoppsignal verwenden, das durch Freilegen der Schicht 221 mit niedriger Dielektrizitätskonstanten erzeugt ist. Die Dicke der Schicht 221 mit niedriger Dielektrizitätskonstanten kann vergleichsweise gleichmäßig sein, so dass in einem Fall, in welchem die Schicht 221 mit niedriger Dielektrizitätskonstanten und die Hauben 210 von verschiedenen Materialien, beispielsweise verschiedenen Siliziumoxiden, sind, die Schicht 221 mit niedriger Dielektrizitätskonstanten in einem zeitgesteuerten Ätzprozess durchgeätzt werden kann und nach Öffnen der Hauben 210 der Ätzprozess zu einem Ätzen wechseln kann, das selektiv zu dem Material der Schicht 221 mit niedriger Dielektrizitätskonstanten ist. Da die Ätzstoppschicht 222, die Schicht 221 mit niedriger Dielektrizitätskonstanten und die Hauben 210 lediglich geringe Dickenveränderungen zeigen, können die betreffenden Ätzprozesse lediglich durch die Ätzzeit ausreichend definiert werden. Gemäß einem anderen Ausführungsbeispiel zeigen die Hauben 210 und die Schicht 221 mit niedriger Dielektrizitätskonstanten lediglich eine geringe Ätzselektivität und sind ohne Änderung der Ätzchemie durchgeätzt.
  • 3D zeigt die Kontakttrenches 301, die sich durch die Zwischenschicht 230, die Ätzstoppschicht 222, die Schicht 221 mit niedriger Dielektrizitätskonstanten und die Hauben 210 erstrecken. Eine Fehlausrichtung bzw. Fehljustierung der Kontakttrenches 301 von einer Zielposition, die in einer Fehlausrichtung der Bodenabschnitte der Kontakttrenches 301 bezüglich der Metallstrukturen 311, 321 resultiert, überschreitet nicht die Dicke der Schicht 221 mit niedriger Dielektrizitätskonstanten. Andererseits gewährleistet die Schicht 221 mit niedriger Dielektrizitätskonstanten, dass eine kapazitive Kopplung zwischen benachbarten ersten und zweiten Metallstrukturen 311, 321 niedriger ist als in Ausführungsbeispielen mit den Hilfsstrukturen 220, die vollständig die Spalte 611 füllen.
  • Das Ausführungsbeispiel von 4A bis 4D verändert die Sequenz der Auftragung des Materials mit niedriger Dielektrizitätskonstanten und des zweiten dielektrischen Materials, das die Hilfsstrukturen 220 bildet.
  • 4A zeigt isolierte geschichtete Stapel 610 in der vertikalen Projektion der ersten und zweiten leitenden Strukturen 110, 120 in dem Halbleitersubstrat 500a.
  • Eine Hilfsschicht 225 des zweiten dielektrischen Materials ist aufgetragen, das die geschichteten Stapel 610 bedeckt und die Spalte 611 zwischen benachbarten geschichteten Stapeln 610 auskleidet.
  • 4B zeigt die Hilfsschicht 225, die eine konforme Schicht mit einer Dicke kleiner als eine Hälfte, beispielsweise höchstens ein Drittel des Abstandes zwischen benachbarten geschichteten Stapeln 610 sein kann.
  • Erste Teile der Hilfsschicht 225 zwischen den geschichteten Stapeln 610 bilden eine Hilfsstruktur 220, und zweite Teile der Hilfsschicht 225 auf einer Oberseite der Hauben 210 bilden eine diskontinuierliche Ätzstoppschicht 222. Ein weiteres dielektrisches Material wird aufgetragen, das das erste dielektrisches Material der Hauben 210 oder ein drittes dielektrisches Material sein kann, das mit hoher Selektivität gegenüber dem zweiten dielektrischen Material der Hilfsschicht 225 geätzt werden kann.
  • Wie in 4C gezeigt ist, füllen erste Teile 231 des weiteren dielektrischen Materials verbleibende Räume zwischen benachbarten geschichteten Stapeln 610, und ein zweiter Teil des weiteren dielektrischen Materials bildet die Zwischenschicht 230.
  • Kontakttrenches 301 werden gebildet mittels einer zweiten Maske auf der Zwischenschicht 230, wie anhand von 1D bis 1E beschrieben ist. Ein Bilden der Kontakttrenches 301 umfasst ein Ätzen der Zwischenschicht 230 herab zu der diskontinuierlichen Ätzstoppschicht 222. Nach einem ausreichenden Überätzen kann die Ätzchemie zu einer Zusammensetzung schalten, die das zweite dielektrische Material der Hilfsschicht 225 ätzt. Nach einer gewissen Ätzzeit, die durch die Dicke der Hilfsschicht 225 gegeben ist, ändert sich die Ätzchemie wieder, um das erste dielektrische Material der Hauben 210 mit hoher Selektivität gegenüber dem zweiten dielektrischen Material der Hilfsschicht 225 zu ätzen. Wieder leitet die Hilfsstruktur 220 das Ätzen der Hauben 210 solange eine Fehlausrichtung nicht die Dicke der Hilfsschicht 225, reduziert durch einen aus dem spitz zulaufenden Winkel resultierenden Betrag, überschreitet. Gemäß einem anderen Ausführungsbeispiel laufen die Hauben 210 nicht spitz zu, und die Ätzstoppschicht 222 bedeckt vertikale Seitenwände.
  • Während in 3D das Material mit niedriger Dielektrizitätskonstanten Seitenwände der Metallstrukturen 311, 321 bedeckt und das Ätzen der Hauben 210 Taschen in dem Material mit niedriger Dielektrizitätskonstanten längs der Seitenwände der Metallstrukturen 311, 321 bilden kann, gewährleistet das zweite dielektrische Material, das nicht rückgebildet wird, wenn die Hauben 210 durchgeätzt werden, dass das Ätzen zuverlässig auf der Oberfläche der ersten und zweiten Metallstrukturen 311, 321 stoppt und nicht Teile der vertikalen Seitenwände der ersten und zweiten Metallstrukturen 311, 321 freilegt, wie es der Fall in 3D sein kann, wo die Schicht 221 mit niedriger Dielektrizitätskonstanten von dem gleichen Material wie die Haube 210 sein kann. Als ein Ergebnis können Kontaktstrukturen 315, 325, die durch Füllen der Kontakttrenches 301 mit leitendem Material gebildet sind, zuverlässiger gebildet werden.
  • 5 zeigt eine Halbleitervorrichtung 500, die eine Vielzahl von Transistorzellen TC umfasst, die in einem Halbleiterteil 100 gebildet sind und die sich längs einer horizontalen Richtung senkrecht zu der Querschnittsebene erstrecken können. Paare von Transistorzellen TC können spiegelinvertiert derart angeordnet sein, dass zwei benachbarte Transistorzellen TC jeweils eine gemeinsame Sourcekonstruktion 110 oder eine gemeinsame Drainkonstruktion 120 teilen können. Die Source- und Drainkonstruktionen 110, 120 der Transistorzellen TC sind Seite an Seite zueinander längs einer zweiten horizontalen Richtung in der Querschnittsebene auf entgegengesetzten Seiten der Trenchelektrodenstrukturen 190 gebildet, sich von einer ersten Oberfläche 101 in einen Halbleiterteil 100 erstrecken.
  • Die Drainkonstruktion 120 kann eine stark dotierte Drainzone 128 mit einer ausreichend hohen Dotierstoffkonzentration, um einen ohmschen Kontakt mit zweiten Metallstrukturen 312, die auf der ersten Oberfläche 101 gebildet sind, zu gewährleisten, umfassen. Die Drainkonstruktion 120 kann weiterhin eine schwach dotierte Driftzone 121 umfassen, die einen unipolaren Homoübergang mit der stark dotierten Drainzone 128 und einen ersten j1-Übergang mit einem Kanal/Bodybereich 150 bildet. Der Kanal/Bodybereich 150 kann den gleichen Leitfähigkeitstyp wie die Drift- und die Drainzonen 121, 128 haben oder kann den entgegengesetzten Leitfähigkeitstyp aufweisen.
  • Die Sourcekonstruktion 110 kann eine stark dotiert Sourcezone 112 umfassen, die einen zweiten Übergang j2 bildet, der ein unipolarer Homoübergang oder ein pn-Übergang mit der Kanal/Bodyzone 150 sein kann. Eine Kontaktschicht 114 kann direkt an die Sourcezone 112 angrenzen. Die Kontaktschicht 114 kann eine Metall-Halbleiter-Verbindung, beispielsweise ein Metallsilizid, z. B. eine Titansilizid-TiSi-Schicht mit einer Dicke von wenigstens 1 nm, beispielsweise wenigstens 10 nm, und höchstens 100 nm enthalten oder aus einer solchen bestehen. Die Sourcekonstruktion 110 kann weiterhin eine hochkonforme Wolframschicht 116 umfassen, die sich längs der Trenchelektrodenstruktur 1990 und der Kontaktschicht 114 erstreckt. Ein anderes leitendes Material, beispielsweise grobkörniges Wolfram, kann einen Füllteil 118 der Sourcekonstruktion 110 bilden.
  • Die Trenchelektrodenstrukturen 190 können eine leitende Gateelektrode 195 und ein Gatedielektrikum 191 umfassen, das dielektrisch die Gateelektrode 195 zu angrenzenden bzw. benachbarten Teilen der Kanal/Bodybereiche 150 koppelt. Die Trenchelektrodenstrukturen 190 können weiterhin einen dielektrischen Füllteil 198 umfassen, der sich zwischen einer durch die erste Oberfläche 101 aufgespannten Ebene und den Homoübergängen zu dem Kanal/Bodybereich 150 erstreckt. Der Halbleiterteil 100 kann weiterhin einen stark dotierten Substratteil 140 längs der zweiten Oberfläche 102 entgegengesetzt zu der ersten Oberfläche 101 umfassen.
  • Hilfsstrukturen 220 sind in der vertikalen Projektion der Trenchelektrodenstrukturen 190 gebildet, wobei die Breite der Hilfsstrukturen 220 kleiner als oder größer als die entsprechende Breite der Trenchelektrodenstrukturen 190 sein kann, so dass die Hilfsstrukturen 220 auf einer Seite oder auf beiden Seiten mit den Source- oder Drainkonstruktionen 110, 120 überlappen können. Die Hilfsstrukturen 220 können aus einem Hauptteil aus Silizumnitrid bestehen oder einen solchen umfassen, wobei sich der Hauptteil wenigstens von der Zwischenfläche zwischen Hauben 210 und den Metallstrukturen 311, 312 zu dem oberen Rand der Hauben 210 erstreckt.
  • Der geschichtete Stapel 610 ist in der vertikalen Projektion der Source- und Drainstrukturen 110, 120 gebildet, wobei eine horizontale Breite der geschichteten Stapel 610 kleiner oder größer als eine entsprechende horizontale Breite der Source- und Drainkonstruktionen 110, 120 sein kann, so dass die geschichteten Stapel 610 wenigstens an einer Seite mit den Trenchelektrodenstrukturen 190 überlappen können.
  • Die geschichteten Stapel 610 umfassen weiterhin Hauben bzw. Kappen 210 eines ersten dielektrischen Materials, erste Metallstrukturen 311, die direkt an die Sourcekonstruktionen 110 angrenzen, und zweite Metallstrukturen 321, die direkt an die Drainkonstruktionen 120 angrenzen. Das Material der Hauben 210 kann eine oder mehrere aufgetragene Schichten von Siliziumoxid, PSG, BSG, PBSG, FSG oder Polyimid enthalten.
  • Eine Zwischenschicht 230 bedeckt die Hilfsstrukturen 220 und die geschichteten Stapel 610. Zweite Kontaktstrukturen 325 erstrecken sich von einer Oberfläche der Zwischenschicht 230 durch die Zwischenschicht 230 und die Hauben 210 zu den zweiten Metallstrukturen 321, und eine zweite Metallverdrahtung 328 auf der Zwischenschicht 230 kann die zweiten Kontaktstrukturen 325 verbinden. In einer anderen Querschnittsebene parallel zu der dargestellten Querschnittsebene können sich erste Kontaktstrukturen 315 von der Oberfläche der Zwischenschicht 230 durch die Zwischenschicht 230 und die Hauben 210 zu den ersten Metallstrukturen 311 erstrecken, und eine erste Metallverdrahtung 318 auf der Zwischenschicht 230 kann die ersten Kontaktstrukturen 315 verbinden.
  • Die Hilfsstrukturen 220 definieren einen Mindestabstand zwischen den ersten Kontaktstrukturen 315 und den zweiten Metallstrukturen 321 sowie zwischen den zweiten leitenden Strukturen 325 und den ersten Metallstrukturen 311.
  • In 6 trennt eine Schicht 221 mit geringer Dielektrizitätskonstante die Hilfsstrukturen von den geschichteten Stapeln 610 und vermindert eine kapazitive Kopplung zwischen den ersten und zweiten Metallstrukturen 311, 321.
  • Die Halbleitervorrichtung von 7 zeigt eine konforme Hilfsschicht mit ersten Teilen zwischen den die Hilfsstrukturen 220 bildenden geschichteten Stapeln 610 und einem zweiten Teil auf den eine diskontinuierliche Ätzstoppschicht 222 bildenden geschichteten Stapeln 610.
  • Obwohl spezifische Ausführungsbeispiele hier veranschaulicht und beschrieben sind, ist es für den Fachmann selbstverständlich, dass eine Vielzahl von alternativen und/oder äquivalenten Ausgestaltungen für die gezeigten und beschriebenen spezifischen Ausführungsbeispiele herangezogen werden kann, ohne von dem Bereich der vorliegenden Erfindung abzuweichen. Diese Anmeldung soll jegliche Anpassungen oder Veränderungen der hier diskutierten spezifischen Ausführungsbeispiele abdecken. Daher ist beabsichtigt, dass diese Erfindung lediglich durch die Patentansprüche und deren Äquivalente begrenzt ist.

Claims (20)

  1. Verfahren zum Herstellen einer Halbleitervorrichtung, wobei das Verfahren umfasst: Bilden eines Schichtstapels (600) auf einer Hauptoberfläche (101a) einer Halbleiterschicht (100a), wobei der Schichtstapel (600) eine dielektrische Deckschicht (210a) und eine Metallschicht (310a) zwischen der Deckschicht (210a) und der Halbleiterschicht (100a) aufweist, Entfernen zweiter Teile (620) des Schichtstapels (600), um Spalte (611) zwischen zurückbleibenden ersten Teilen (610) des Schichtstapels (600) zu bilden, Bilden von Justierstrukturen (220) eines zweiten dielektrischen Materials in den Spalten (611), Bilden einer Zwischenschicht (230) des ersten oder eines dritten dielektrischen Materials, wobei die Zwischenschicht (230) die Justierstrukturen (220) und die ersten Teile (610) bedeckt, und Bilden von Kontakttrenches (301), die sich durch die Zwischenschicht (230) und die Deckschicht (210a) zu Metallstrukturen (311, 321) erstrecken, die von zurückbleibenden Teilen der Metallschicht (310a) in den ersten Teilen (610) des Schichtstapels (600) gebildet sind, wobei die Deckschicht (210a) selektiv gegenüber den Hilfsstrukturen (220) geätzt wird.
  2. Verfahren nach Anspruch 1, bei dem die Zwischenschicht (230) selektiv gegenüber den Hilfsstrukturen (220) geätzt wird.
  3. Verfahren nach einem der Ansprüche 1 bis 2, weiterhin umfassend: Auftragen einer Schicht (221) mit niedriger Dielektrizitätskonstante eines Materials mit niedrigerer Dielektrizitätskonstanten als das zweite dielektrische Material vor Bilden der Hilfsstrukturen (220), wobei eine Dicke der Schicht (221) mit niedriger Dielektrizitätskonstante geringer ist als eine Hälfte einer Breite der Spalte (611) in dem Schichtstapel (600).
  4. Verfahren nach Anspruch 3, bei dem ein Bilden der Hilfsstrukturen (220) ein Auftragen des zweiten dielektrischen Materials direkt auf der Schicht (221) mit niedriger Dielektrizitätskonstanten umfasst, wobei erste Teile des aufgetragenen zweiten dielektrischen Materials die Hilfsstrukturen (220) bilden und zweite Teile des aufgetragenen zweiten dielektrischen Materials eine diskontinuierliche Ätzstoppschicht (222) über den ersten Teilen (610) des Schichtstapels (600) und den Hilfsstrukturen (220) bilden.
  5. Verfahren nach einem der Ansprüche 1 bis 2, bei dem das aufgetragene zweite dielektrische Material direkt auf den ersten Teilen (610) des Schichtstapels (600) aufgetragen wird.
  6. Verfahren nach einem der Ansprüche 1 bis 2, bei dem das zweite dielektrische Material als eine konforme Hilfsschicht (225) aufgetragen wird, wobei eine Dicke der konformen Schicht geringer ist als eine Hälfte einer Breite der Spalte (611) in dem Schichtstapel (600) und Teile der Hilfsschicht (225) in den Spalten (611) die Hilfsstrukturen (220) bilden.
  7. Verfahren nach Anspruch 6 bei dem die Zwischenschicht (230) verbleibende Hohlräume in den Spalten (611), ausgekleidet durch die konforme Schicht (225), füllt.
  8. Verfahren nach einem der vorangehenden Ansprüche, bei dem Hauben (210), die von der Deckschicht (210a) in den zurückbleibenden ersten Teilen (610) gebildet sind, mit zunehmendem Abstand zu den Metallstrukturen (311, 321) spitz zulaufen.
  9. Verfahren nach einem der vorangehenden Ansprüche, bei dem die Metallstrukturen (311, 321) parallele Streifen bilden.
  10. Verfahren nach einem der vorangehenden Ansprüche, weiterhin umfassend: Bilden von Transistorzellen (TC) in dem Halbleiterteil (100) vor Bilden des Schichtstapels (600).
  11. Verfahren nach den Ansprüchen 1 bis 9, weiterhin umfassend: Bilden von Transistorzellen (TC) in dem Halbleiterteil (100) vor Bilden des Schichtstapels (600), wobei die ersten Metallstrukturen (311) direkt angrenzend an Sourcekonstruktionen (110) der Transistorzellen (TC) gebildet werden und die zweiten Metallstrukturen (321) direkt angrenzend an Drainkonstruktionen (120) der Transistorzellen (TC) gebildet werden.
  12. Halbleitervorrichtung, umfassend: getrennte geschichtete Stapel (610) auf einer ersten Oberfläche (101) eines Halbleiterteiles (100), wobei jeder geschichtete Stapel (610) eine Haube (210) eines ersten dielektrischen Materials und eine Metallstruktur (311, 321) zwischen der Haube (210) und dem Halbleiterteil (100) aufweist, Hilfsstrukturen (220) eines zweiten, verschiedenen dielektrischen Materials zwischen benachbarten geschichteten Stapeln (610), eine Zwischenschicht (230) des ersten oder eines dritten, verschiedenen dielektrischen Materials, bedeckend die geschichteten Stapel (610) und die Hilfsstrukturen (220), und Kontaktstrukturen (315, 325), die sich durch die Zwischenschicht (230) und die Hauben (210) zu den Metallstrukturen (311, 321) in den geschichteten Stapeln (610) erstrecken, wobei die Kontaktstrukturen (315, 325) zwischen benachbarten Hilfsstrukturen (220) Bodenabschnitte aufweisen, die sich jeweils durch die Hauben (210) erstrecken.
  13. Halbleitervorrichtung nach Anspruch 12, bei der wenigstens einige der Kontaktstrukturen (315, 325) direkt an eine der benachbarten Hilfsstrukturen (220) angrenzen.
  14. Halbleitervorrichtung nach Anspruch 12 oder 13, bei der die Zwischensschicht (230) eine planare Oberfläche hat.
  15. Halbleitervorrichtung nach einem der Ansprüche 12 bis 14, weiterhin umfassend: eine Schicht (221) mit niedriger Dielektrizitätskonstante zwischen den geschichteten Stapeln (610) und den Hilfsstrukturen (220), wobei eine Dielektrizitätskonstante der Schicht (221) mit niedriger Dielektrizitätskonstante geringer ist als eine Dielektrizitätskonstante des zweiten dielektrischen Materials.
  16. Halbleitervorrichtung nach Anspruch 15, bei der eine Dicke der Schicht (221) mit niedriger Dielektrizitätskonstante kleiner ist als eine Hälfte einer Breite der Spalte (611) zwischen den geschichteten Stapeln (610).
  17. Halbleitervorrichtung nach einem der Ansprüche 12 bis 16, bei der erste Teile einer konformen Hilfsschicht (225) die Hilfsstrukturen (220) bilden.
  18. Halbleitervorrichtung nach Anspruch 17, bei der eine Dicke der konformen Hilfsschicht (225) geringer ist als ein Drittel einer Breite der Spalte (611).
  19. Halbleitervorrichtung nach Anspruch 17 oder 18, bei der das erste oder dritte dielektrische Material der Zwischenschicht (230) einen verbleibenden Spalt zwischen benachbarten geschichteten Stapeln (610) füllt, die durch die konforme Hilfsschicht (225) bedeckt sind.
  20. Halbleitervorrichtung nach den Ansprüchen 12 bis 19, bei der das zweite dielektrische Material Siliziumnitrid ist.
DE102015114405.0A 2015-08-28 2015-08-28 Halbleitervorrichtung mit sich durch eine zwischenschicht erstreckenden kontaktstrukturen und herstellungsverfahren Withdrawn DE102015114405A1 (de)

Priority Applications (3)

Application Number Priority Date Filing Date Title
DE102015114405.0A DE102015114405A1 (de) 2015-08-28 2015-08-28 Halbleitervorrichtung mit sich durch eine zwischenschicht erstreckenden kontaktstrukturen und herstellungsverfahren
CN201610740670.6A CN106571305A (zh) 2015-08-28 2016-08-26 具有通过夹层延伸的接触结构的半导体器件及其制造方法
US15/249,008 US20170062276A1 (en) 2015-08-28 2016-08-26 Semiconductor Device with Contact Structures Extending Through an Interlayer and Method of Manufacturing

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102015114405.0A DE102015114405A1 (de) 2015-08-28 2015-08-28 Halbleitervorrichtung mit sich durch eine zwischenschicht erstreckenden kontaktstrukturen und herstellungsverfahren

Publications (1)

Publication Number Publication Date
DE102015114405A1 true DE102015114405A1 (de) 2017-03-02

Family

ID=58011095

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102015114405.0A Withdrawn DE102015114405A1 (de) 2015-08-28 2015-08-28 Halbleitervorrichtung mit sich durch eine zwischenschicht erstreckenden kontaktstrukturen und herstellungsverfahren

Country Status (3)

Country Link
US (1) US20170062276A1 (de)
CN (1) CN106571305A (de)
DE (1) DE102015114405A1 (de)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020006087A1 (en) * 2018-06-27 2020-01-02 Tokyo Electron Limited Fully self-aligned via with selective bilayer dielectric regrowth
CN110137134B (zh) * 2019-05-05 2021-02-09 中国科学院微电子研究所 互连结构、电路及包括该互连结构或电路的电子设备
CN112925445B (zh) * 2021-03-05 2023-03-07 武汉天马微电子有限公司 触控模组、显示模组、显示装置及检测方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6451708B1 (en) * 1999-09-27 2002-09-17 Samsung Electronics Co., Ltd. Method of forming contact holes in a semiconductor device
DE102014108821A1 (de) * 2013-07-03 2015-01-08 Infineon Technologies Dresden Gmbh Verfahren zum Herstellen einer Halbleitervorrichtung mit vergrabenen Gateelektrodenstrukturen und Halbleitervorrichtung

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3983960B2 (ja) * 2000-07-14 2007-09-26 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法および半導体集積回路装置
CN1469434A (zh) * 2002-07-17 2004-01-21 茂德科技股份有限公司 接触孔的形成方法
US20070069327A1 (en) * 2005-09-29 2007-03-29 Infineon Technologies Ag Method for manufacturing an integrated semiconductor device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6451708B1 (en) * 1999-09-27 2002-09-17 Samsung Electronics Co., Ltd. Method of forming contact holes in a semiconductor device
DE102014108821A1 (de) * 2013-07-03 2015-01-08 Infineon Technologies Dresden Gmbh Verfahren zum Herstellen einer Halbleitervorrichtung mit vergrabenen Gateelektrodenstrukturen und Halbleitervorrichtung

Also Published As

Publication number Publication date
CN106571305A (zh) 2017-04-19
US20170062276A1 (en) 2017-03-02

Similar Documents

Publication Publication Date Title
DE102014110650B4 (de) Halbleitervorrichtung mit zelltrenchstrukturen und kontakten und verfahren zum herstellen einer halbleitervorrichtung
DE4220497B4 (de) Halbleiterspeicherbauelement und Verfahren zu dessen Herstellung
DE102013220852B4 (de) Integrierte Schaltungen und Verfahren zum Herstellen von integrierten Schaltungen mit Metall-Gate-Elektroden
DE112006001735B4 (de) Blockkontaktarchitekturen für Transistoren mit Kanälen in einer Nano-Größenordnung und Verfahren zum Ausbilden
DE102019106763A1 (de) Vergrabene stromschiene und ausbildungsverfahren
DE102017123445A1 (de) Vergrabene Metallleiterbahn und Verfahren zu deren Herstellung
DE102015103072A1 (de) Halbleitervorrichtung mit grabenstruktur einschliesslich einer gateelektrode und einer kontaktstruktur fur ein diodengebiet
DE102014117297A1 (de) Halbleitervorrichtung mit zelltrenchstrukturen und kontakten und verfahren zum herstellen einer halbleitervorrichtung
DE102011085203B4 (de) Herstellungsverfahren für Halbleiterbauelemente mit Durchgangskontakten
DE102014108790B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung mit Vorrichtungstrennungsstrukturen und Halbleitervorrichtung
DE102015106411B4 (de) Obere metallische kontaktpads als lokale verbinder von vertikaltransistoren
AT505175A2 (de) Leitungsvorrichtung unter nutzung der chemisch-mechanischen planarisierung
DE102011088584B4 (de) Halbleiterbauelement und Verfahren zu seiner Herstellung
DE112006000175T5 (de) Graben Schottky-Sperrschichtdiode mit unterschiedlicher Oxiddicke
DE102009002813B4 (de) Verfahren zur Herstellung eines Transistorbauelements mit einer Feldplatte
DE102014105339A1 (de) Halbleitervorrichtung und verfahren zum herstellen einer halbleitervorrichtung
DE102014114832B4 (de) Halbleitervorrichtung und Verfahren zum Herstellen einer Halbleitervorrichtung
DE102012216969A1 (de) Halbleiterbauelement mit einem Halbleitervia und Verfahren zum Herstellen eines Halbleiterbauelements
DE102016118956A1 (de) Zweistufige Dummy-Gate-Bildung
DE102014108821A1 (de) Verfahren zum Herstellen einer Halbleitervorrichtung mit vergrabenen Gateelektrodenstrukturen und Halbleitervorrichtung
DE102014110450B4 (de) Integrierte Schaltung und Verfahren zum Herstellen einer integrierten Schaltung
DE102014115321B4 (de) Halbleitervorrichtung und Verfahren zum Herstellen einer Halbleitervorrichtung mittels einer Ausrichtungsschicht
DE102020119491A1 (de) Halbleitervorrichtungen
DE102020116563A1 (de) Halbleitervorrichtung und verfahren zur herstellung derselben
DE102013105110B4 (de) Halbleitervorrichtung und Verfahren zum Herstellen einer Halbleitervorrichtung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee