DE102013106840A1 - Zwischenverbindungsstruktur, die Schäden an der Isolierschicht vermeidet, und Verfahren für ihre Herstellung - Google Patents

Zwischenverbindungsstruktur, die Schäden an der Isolierschicht vermeidet, und Verfahren für ihre Herstellung Download PDF

Info

Publication number
DE102013106840A1
DE102013106840A1 DE201310106840 DE102013106840A DE102013106840A1 DE 102013106840 A1 DE102013106840 A1 DE 102013106840A1 DE 201310106840 DE201310106840 DE 201310106840 DE 102013106840 A DE102013106840 A DE 102013106840A DE 102013106840 A1 DE102013106840 A1 DE 102013106840A1
Authority
DE
Germany
Prior art keywords
layer
insulating layer
forming
conductive
metal oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE201310106840
Other languages
English (en)
Inventor
Bo-Jiun Lin
Hai-Ching Chen
Tien-l Bao
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102013106840A1 publication Critical patent/DE102013106840A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/1204Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material inorganic material, e.g. non-oxide and non-metallic such as sulfides, nitrides based compounds
    • C23C18/1208Oxides, e.g. ceramics
    • C23C18/1216Metal oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/125Process of deposition of the inorganic material
    • C23C18/1254Sol or sol-gel processing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/125Process of deposition of the inorganic material
    • C23C18/1295Process of deposition of the inorganic material with after-treatment of the deposited inorganic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/14Decomposition by irradiation, e.g. photolysis, particle radiation or by mixed irradiation sources
    • C23C18/143Radiation by light, e.g. photolysis or pyrolysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C30/00Coating with metallic material characterised only by the composition of the metallic material, i.e. not characterised by the coating process
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1021Pre-forming the dual damascene structure in a resist layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

Ein Verfahren zum Bilden einer Zwischenverbindungsstruktur enthält das Ausbilden einer Isolierschicht auf einem Substrat. Eine Damaszen-Öffnung wird durch einen Dickenabschnitt der Isolierschicht hindurch gebildet. Eine Diffusionssperrschicht wird gebildet, um die Damaszen-Öffnung auszukleiden. Eine leitfähige Schicht wird über der Diffusionssperrschicht gebildet, um die Damaszen-Öffnung auszufüllen. Eine kohlenstoffhaltige Metalloxidschicht wird auf der leitfähigen Schicht und der Isolierschicht gebildet.

Description

  • HINTERGRUND
  • Bei der Ausbildung von Damaszen-Strukturen in Prozessen zur Herstellung integrierter Schaltkreise ist der Oberflächenzustand der Damaszen-Öffnung für das Erreichen einer akzeptablen Adhäsion und Deckung von darüberliegenden Schichten von ausschlaggebender Bedeutung. Die Damaszen-Öffnung, zum Beispiel eine duale Damaszen-Öffnung, wird in einer Intermetall-Dielektrikum(IMD)-Isolierschicht mittels einer Reihe fotolithografischer Strukturierungs- und Ätzprozesse gebildet, gefolgt von der Ausbildung einer Sperrschicht und einer darüberliegenden Metall(z. B. Kupfer)-Keimschicht zur Optimierung eines elektrochemischen Verkupferungprozesses.
  • In zunehmendem Maße werden IMD-Schichten mit niedrigem K-Wert benötigt, um Signalverzögerungs- und Leistungsverlusteffekte im Zuge der stetigen Verkleinerung integrierter Schaltkreisbauelemente zu mindern. Eine Möglichkeit, dies zu erreichen, war das Hervorrufen von Porosität in der, oder das Eintragen von Dotanden in die, IMD-Schicht. Insbesondere ist das Einarbeiten von Materialien mit niedrigem K-Wert und Dielektrizitätskonstanten von kleiner als etwa 3,0 in dem Maße zu einer Standardpraxis geworden, wie die Strukturierungsgröße von Halbleiterbauelementen auf unter 0,2 Mikrometer gesunken ist. Bei Strukturierungsgrößen unter etwa 45 nm, zum Beispiel 28 nm und kleiner als 15 nm, werden Materialien, die für abmessungskritische Technologien geeignet sind, mit Dielektrizitätskonstanten von kleiner als etwa 2,0 benötigt. Um jedoch das elektromagnetische Verhalten des Bauelements zu verbessern, werden die IMD-Schichten mit niedrigem K-Wert oft einer Plasmabehandlung, wie zum Beispiel chemischem Aufdampfen (CVD) oder Atomschichtabscheidung (ALD), unterzogen. Die Plasmabehandlung beschädigt jedoch gewöhnlich das Material mit niedrigem K-Wert, wodurch die Leistung des Bauelements geschmälert wird. Dieses Problem verursacht mindestens Einschränkungen bei der Fertigung, die überwunden werden müssen, um zuverlässige Kupfer-Damaszene in Technologien mit noch kleineren kritischen Abmessungen zu bilden.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Ausführungsformen der vorliegenden Offenbarung versteht man am besten anhand der folgenden detaillierten Beschreibung, wenn sie in Verbindung mit den beiliegenden Figuren gelesen wird. Es wird darauf hingewiesen, dass im Einklang mit der gängigen Branchenpraxis verschiedene Strukturelemente nicht maßstabsgetreu gezeichnet sind. Die Abmessungen der verschiedenen Strukturelemente können absichtlich vergrößert oder verkleinert dargestellt sein, wenn es dem besseren Verständnis der Besprechung dient.
  • 1 ist ein Flussdiagramm eines Verfahrens zum Ausbilden einer Zwischenverbindungsstruktur gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
  • 26 sind seitliche Querschnittsansichten einer beispielhaften Damaszen-Struktur auf verschiedenen Fertigungsstufen gemäß verschiedenen Ausführungsformen der vorliegenden Offenbarung.
  • DETAILLIERTE BESCHREIBUNG
  • In der folgenden Beschreibung sind konkrete Details dargelegt, um ein gründliches Verständnis von Ausführungsformen der vorliegenden Offenbarung zu ermöglichen. Der Durchschnittsfachmann erkennt, dass Ausführungsformen der Offenbarung auch ohne diese konkreten Details praktiziert werden können. In einigen Fällen werden allgemein bekannte Strukturen und Prozesse nicht im Detail beschrieben, um die wesentlichen Aspekte der Ausführungsformen der vorliegenden Offenbarung nicht in den Hintergrund treten zu lassen.
  • Wenn in dieser Spezifikation von „einer Ausführungsform” gesprochen wird, so ist damit gemeint, dass ein bestimmtes Merkmal, eine bestimmte Struktur oder eine bestimmte Eigenschaft, die in Verbindung mit der Ausführungsform beschrieben sind, in mindestens einer Ausführungsform der vorliegenden Offenbarung enthalten ist. Die Phrase „in einer Ausführungsform” an verschiedenen Stellen in dieser Spezifikation bezieht sich also nicht unbedingt immer auf dieselbe Ausführungsform. Des Weiteren können die bestimmten Merkmale, Strukturen oder Eigenschaften in jeder geeigneten Weise in einer oder mehreren Ausführungsformen kombiniert werden. Es versteht sich, dass die folgenden Figuren nicht maßstabsgetreu gezeichnet sind; vielmehr dienen diese Figuren lediglich der Veranschaulichung.
  • Obgleich die vorliegende Offenbarung anhand eines beispielhaften dualen Damaszenbildungsprozesses erläutert wird, versteht es sich, dass das Verfahren der vorliegenden Offenbarung allgemein für die Bildung von Damaszenen gilt, einschließlich einzelner Durchkontakte und Grabenleitungen, die sich durch einzelne oder mehrere IMD-Schichten hindurch erstrecken. Obgleich sich Ausführungsformen des Verfahrens besonders zum Bilden von Kupfer-Damaszenen in porösen Dielektrika mit niedrigem K-Wert eignen, versteht es sich, dass das Verfahren auch auf die Bildung anderer Metall-Damaszene und anderer dielektrischer Isolierschichten angewendet werden kann.
  • Der Begriff „Damaszen” meint jede Damaszen-Zwischenverbindungsstruktur, z. B. sowohl einzelne als auch dualen Damaszene, einschließlich Durchkontakten, Kontaktöffnungen und Grabenleitungen. Des Weiteren meint der Begriff „Kupfer” sowohl Kupfer und als auch seine Legierungen.
  • 1 ist ein Flussdiagramm eines Verfahrens 2 zum Bilden einer Zwischenverbindungsstruktur gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Wie in 1 gezeigt, enthält das Verfahren Block 4, in dem eine Isolierschicht auf einem Substrat gebildet wird. Das Verfahren 2 enthält Block 6, in dem eine Damaszen-Öffnung durch einen Dickenabschnitt der Isolierschicht hindurch gebildet wird. Das Verfahren 2 enthält Block 8, in dem eine Diffusionssperrschicht gebildet wird, um die Damaszen-Öffnung auszukleiden. Das Verfahren 2 enthält Block 10, in dem eine leitfähige Schicht gebildet wird, die über der Diffusionssperrschicht liegt, um die Damaszen-Öffnung auszufüllen. Das Verfahren 2 enthält Block 12, in dem eine kohlenstoffhaltige Metalloxidschicht auf der leitfähigen Schicht und der Isolierschicht gebildet wird.
  • Es versteht sich, dass zusätzliche Prozesse vor, während oder nach den in 1 gezeigten Blöcken 412 ausgeführt werden können, um die Fertigung der Zwischenverbindungsstruktur eines Halbleiterbauelements zu vollenden, aber diese zusätzlichen Prozesse werden hier aus Gründen der Vereinfachung nicht im Detail besprochen.
  • 26 sind Querschnittsansichten einer beispielhaften Damaszen-Struktur auf verschiedenen Fertigungsstufen gemäß Ausführungsformen des Verfahrens 2 von 1. Es versteht sich, dass die 26 vereinfacht wurden, um ein besseres Verständnis der erfindungsgemäßen Konzepte der vorliegenden Offenbarung zu ermöglichen. Es versteht sich, dass die im vorliegenden Dokument beschriebenen Materialien, Geometrien, Abmessungen, Strukturen und Prozessparameter nur beispielhaft sind und weder die im vorliegenden Dokument beanspruchte Erfindung einschränken sollen, noch in einem solchen einschränkenden Sinn ausgelegt werden dürfen. Dem Fachmann fallen viele Alternativen und Modifizierungen ein, nachdem er in den Genuss der vorliegenden Offenbarung gekommen ist.
  • Wie in 2 gezeigt, wird ein Substrat 15, das zum Beispiel das leitfähige Element 30 enthält, in einer dielektrischen Isolierschicht 20 durch konventionelle Prozesse gebildet, die auf dem Gebiet der Herstellung mikroelektronischer integrierter Schaltkreise bekannt sind. Das leitfähige Element 30 ist elektrisch mit einem darunterliegenden Halbleiterbauelement oder einer darunterliegenden Leitung (nicht gezeigt) verbunden. Danach wird eine darüberliegende erste Ätzstoppschicht 40, zum Beispiel Siliziumnitrid (z. B. SiN, Si3N4) oder Siliziumcarbid (z. B. SiC), auf der dielektrischen Isolierschicht 20 und dem leitfähigen Element 30 auf eine Dicke von etwa 50 Ångström bis etwa 300 Ångström durch konventionelle chemische Aufdampfungsprozesse (CVD), zum Beispiel plasmaverstärkte chemische Aufdampfung (PECVD) oder LPCVD, abgeschieden.
  • Wir bleiben bei 2. Über der ersten Ätzstoppschicht 40 wird die dielektrische Isolierschicht 50 ausgebildet, zum Beispiel eine Intermetall-Dielektrikum(IMD)-Schicht, die aus einem dielektrischen Material mit niedrigem K-Wert besteht, zum Beispiel einem Siliziumoxid-basierten Material mit einer porösen Struktur. Der Begriff „Dielektrikum mit niedrigem K-Wert” meint ein Material mit einer Dielektrizitätskonstante von kleiner als etwa 2,0. In einigen Ausführungsformen ist das Material für die dielektrische Isolierschicht 50 ein Kohlenstoff-dotiertes Siliziumdioxidmaterial, fluoriertes Silikatglas (FSG), organisches Silikatglas (OSG), Fluor-dotiertes Siliziumoxid, aufschleuderbare Glassorten, Silsesquioxan, Benzocyclobuten(BCB)-basierte Polymer-Dielektrika und jegliches Silizium-haltigen Dielektrikum mit niedrigem K-Wert. Die dielektrische Isolierschicht 50 wird in einer beispielhaften Ausführungsform durch einen CVD-Prozess gebildet, zum Beispiel LPCVD oder PECVD, einschließlich Organosiloxan-Vorläufer, wie zum Beispiel Cyclotetrasiloxane, wie zum Beispiel Tetramethylcyclotetrasiloxan, Octamethylcyclotetrasiloxan und Decamethylcyclopentasiloxan, können zweckmäßigerweise verwendet werden, um den IMD-Schicht-Abschnitt 50 zu bilden. Es versteht sich, dass auch anorganisches oder organisches aufschleuderbares Glas (SOG) verwendet werden kann, einschließlich beispielsweise Organosilan- oder Organosiloxan-Vorläufer, die durch konventionelle Verfahren auf das Substrat aufgeschleudert werden, gefolgt von einem Härtungsprozess, einschließlich optionaler nach dem Härten ausgeführter Wärme- und Plasmabehandlungen.
  • Obgleich nicht gezeigt, kann in einigen Ausführungsformen eine mittlere Ätzstoppschicht, die zum Beispiel aus Siliziumnitrid, Siliziumoxynitrid, Siliziumcarbid oder Siliziumoxycarbid besteht, in dem mittleren Abschnitt der IMD-Schicht 50 gebildet werden, um einen oberen Grabenleitungsabschnitt und einen unteren Durchkontaktabschnitt der IMD-Schicht 50 in einer vollendeten dualen Damaszen-Struktur zu trennen.
  • Wir bleiben bei 2. In einigen Ausführungsformen werden eine oder mehrere Hartmasken-/ARC-Schichten, z. B. Schicht 60, bevorzugt eine einzelne anorganische Schicht, die sowohl als eine Hartmaske als auch als eine untere Antireflexionsschicht (ARC) fungiert, die zum Beispiel aus Siliziumoxynitrid oder Siliziumoxycarbid besteht, über der IMD-Schicht 50 mit einer zweckmäßigen Dicke angeordnet, um Lichtreflexionen von der IMD-Schicht-Oberfläche in einem anschließenden fotolithografischen Strukturierungsprozess zu minimieren.
  • Wenden wir uns nun 3 zu. Dann werden konventionelle fotolithografische und Ätzprozesse ausgeführt, um eine duale Damaszen-Öffnung 70 zu bilden. Zum Beispiel wird eine erste Durchkontaktöffnung gebildet, indem man zunächst einen Trockenätzprozess durch die Hartmasken-/ARC-Schicht, z. B. 60, hindurch ausführt, gefolgt von einem Trockenätzprozess durch die Dicke der IMD-Schicht 50 hindurch, mittels konventioneller trockener (z. B. reaktiver Ionenätz-)Ätzchemikalien, um einen Durchkontaktöffnungsabschnitt, z. B. 70A, zu bilden, gefolgt von einem ähnlichen lithographischen und Ätzprozess, um einen Grabenöffnungsabschnitt, z. B. 70B, zu bilden, der über einer oder mehreren Durchkontaktöffnungen, z. B. 70A, liegt. In einigen Ausführungsformen wird die duale Damaszen-Öffnung 70 durch einen Trench-First-Dual-Damascene-Prozess gebildet.
  • Wie in 4 gezeigt, wird eine Diffusionssperrschicht 80 als eine Deckschicht abgeschieden, um die Damaszen-Öffnung 70 auszukleiden, einschließlich der Überlagerung eines frei liegenden Abschnitts des leitfähigen Elements 30 in einem Bodenabschnitt. Die Diffusionssperrschicht 80 verhindert, dass Kupfer in die umgebenden Materialien, wie zum Beispiel die Isolierschicht 50, diffundiert. In einer beispielhaften Ausführungsform wird die Diffusionssperrschicht 80 durch CVD, PVD, Ionen-Metall-Plasma (IMP) oder selbstionisierendes Plasma (SIP) abgeschieden. In einer beispielhaften Ausführungsform enthält die Diffusionssperrschicht 80 Siliziumnitrid. In einigen Ausführungsformen enthält die Diffusionssperrschicht 80 mindestens eine Schicht aus Ta, TaN, Ti, TiN, WN, Cr, CrN, TaSiN, TiSiN und WSiN, die auf eine Dicke von etwa 10 Ångström bis etwa 50 Ångström abgeschieden wird. Es versteht sich, dass die hier angegebenen Abmessungen lediglich Beispiele sind und sich im Zuge der Abwärtsskalierung integrierter Schaltkreise ändern werden. In einigen anderen Ausführungsformen ist die Diffusionssperrschicht 80 Ta/TaN, TaN oder TaSiN oder eine doppelte Schicht aus TaTaN.
  • Wie in 5 gezeigt, wird nach dem Ausbilden der Diffusionssperrschicht 80 in der Damaszen-Öffnung 70 ein konventioneller elektrochemischer Plattierungs(ECP)-Prozess ausgeführt, um eine Kupferschicht 90 als Deckschicht abzuscheiden, die die Damaszen-Öffnung 70 ausfüllt. Zum Beispiel kann für verschiedene Arten von Damaszenen, einschließlich einzelner und dualer Damaszene, die Dicke der Kupferschicht 90 von etwa 500 Ångström bis etwa 1.500 Ångström variieren. Es versteht sich, dass die genannten Abmessungen lediglich Beispiele sind und sich mit der Verkleinerung von integrierten Schaltkreisen ändern. In anderen Ausführungsformen wird die Kupferschicht 90 durch chemisches Plattieren, Galvanisieren, chemische Aufdampfung und/oder physikalische Aufdampfung gebildet. Im Anschluss an die Kupfer-ECP-Abscheidung wird in einigen Ausführungsformen ein konventioneller Planarisierungsprozess, zum Beispiel eine chemisch-mechanische Planarisierung (CMP), ausgeführt, um den überschüssigen Teil der Kupferschicht 90 oberhalb der Höhe der Damaszen-Öffnung, die Diffusionssperrschicht 80 und mindestens einen Teil der Hartmaskenschicht 60 zu entfernen, um die Bildung des dualen Damaszens zu vollenden.
  • In einem konventionellen Verfahren zum Ausbilden einer Damaszen-Struktur wird zum Verbessern des elektromagnetischen Verhaltens eines Bauelements in der Regel eine Plasmabehandlung, wie zum Beispiel chemisches Aufdampfen (CVD) oder Atomschichtabscheidung (ALD), auf die IMD-Schichten mit niedrigem K-Wert angewendet. Die Plasmabehandlung kann die Schicht mit niedrigem K-Wert beschädigen, indem die Oberfläche mit Plasmaionen beschossen wird, wodurch sich die Leistung des Bauelements verschlechtert. Jedoch ist gemäß einem Aspekt der vorliegenden Offenbarung eine Plasmabehandlung nicht erforderlich. Stattdessen wird eine kohlenstoffhaltige Metalloxidschicht 100 auf der Kupferschicht 90 und der Isolierschicht 50 gebildet. Ausführungsformen der vorliegenden Offenbarung mit einer kohlenstoffhaltigen Metalloxidschicht 100 bieten einen oder mehrere der folgenden Vorteile. Erstens sorgt der Kohlenstoff in der kohlenstoffhaltigen Metalloxidschicht 100 für eine gute Adhäsion zwischen der Isolierschicht 50 mit niedrigem K-Wert und einer oberen Ätzstoppschicht 110, indem sie als eine Leimschicht zwischen der Isolierschicht 50 mit niedrigem K-Wert und der oberen Ätzstoppschicht 110 fungiert. Außerdem verbessert das Metalloxid in der kohlenstoffhaltigen Metalloxidschicht 100 das elektromagnetische Verhalten der Kupfer-Zwischenverbindung, indem es für eine gute Adhäsion zwischen der kohlenstoffhaltigen Metalloxidschicht 100 und einer oberen Kupferschicht oder Metallleitung sorgt. Des Weiteren kann auf eine Plasmabehandlung verzichtet werden, so dass die Schicht mit niedrigem K-Wert nicht beschädigt wird.
  • In einer Ausführungsform wird die kohlenstoffhaltige Metalloxidschicht 100 durch einen Solgel-Prozess, eine Art des chemischen Verfahrens, gebildet. In einem Solgel-Prozess entwickelt sich das kolloidale „Sol” (oder „Solution”) allmählich zur Bildung einer Gel-artigen diphasischen Substanz mit einer flüssigen Phase und einer festen Phase. Die diphasische Substanz kann dann auf einem Substrat abgeschieden werden, um einen Film zu bilden. Gemäß einer beispielhaften Ausführungsform wird eine chemische Verbindung, Aluminium-sec-butoxid ((Al(OBu2)), die die Metalloxidquelle bereitstellt, mit einem Chelatbildner, einem mit einer Kohlenstoffquelle, wie zum Beispiel Acetylaceton (AcAcH) oder Ethylacetoacetat, vermischt, um einen Vorläufer entstehen zu lassen. In einer Ausführungsform wird das Aluminium-sec-butoxid mit dem Acetylaceton etwa 1 Stunde vermischt. Das Gemisch kann hinreichend gemischt werden, um eine homogene Lösung zu erhalten. Dann wird dem Gemisch aus Aluminium-sec-butoxid und Acetylaceton Wasser (H2O) zugesetzt, und das Gemisch wird etwa 30 Minuten lang gerührt. Anschließend wird Salpetersäure (HNO3) hinzugefügt, und das gesamte Gemisch reift ungefähr 24 Stunden zu einem kohlenstoffhaltigen Metalloxidvorläufer. In einigen Ausführungsformen können dem Vorläufer weitere Elemente, wie zum Beispiel Metalle und/oder Metalloxide, zugesetzt werden. Zu den Metallelementen gehören zum Beispiel Al, Co, Mn, Cr, Fe, Au, Ag, Na, Ti, Zn oder Ca. Gemäß einer Ausführungsform wird der Metalloxidvorläufer durch ein Aufschleuder- oder Tauchbeschichtungsverfahren auf der Kupferschicht 90 und der Isolierschicht 50 abgeschieden. In einer Ausführungsform wird der Metalloxidvorläufer bei ungefähr 1000 bis ungefähr 2000 Umdrehungen pro Minute (U/min) aufgeschleudert und hat eine Dicke von etwa 50 Ångström bis etwa 500 Ångström. In einer Ausführungsform folgt auf den Aufschleuderprozess eine Nachbehandlung. Ein Beispiel einer Nachbehandlung ist das Aushärten mit Ultraviolett (UV)-Strahlung.
  • Die Ausführungsformen des oben beschriebenen Verfahrens sind nur eine von vielen Möglichkeiten, um einen Vorläufer zu bilden. Es versteht sich, dass Vorläufer auch auf andere Weise gebildet werden können. Das Solgel-Verfahren zur Bildung der kohlenstoffhaltigen Metalloxidschicht 100 auf der Zwischenverbindungsstruktur ist eine kostengünstige und bei niedriger Temperatur ausführbare Technik, die eine Feinabstimmung der chemischen Zusammensetzung, wie zum Beispiel die Anzahl der Kohlenstoffatome in dem Gemisch, gestattet. Dem Fachmann leuchtet ein, dass die Bildung der kohlenstoffhaltigen Metalloxidschicht 100 nicht auf das Solgel-Verfahren beschränkt ist und dass auch andere Verfahren verfügbar sind, und des Weiteren, dass die oben beschriebenen Ausführungsformen nur der Veranschaulichung dienen und keine Einschränkung darstellen.
  • Im Anschluss an die Abscheidung der kohlenstoffhaltigen Metalloxidschicht 100 auf der Kupferschicht 90 und der Isolierschicht 50 wird eine obere Ätzstoppschicht 110 darauf abgeschieden. Die obere Ätzstoppschicht 110 kann zum Beispiel Siliziumnitrid (z. B. SiN, Si3N4) oder Siliziumcarbid (z. B. SiC) sein. In einer beispielhaften Ausführungsform wird die obere Ätzstoppschicht 110 in einer Dicke von etwa 50 Ångström bis etwa 300 Ångström durch konventionelle chemische Aufdampfungsprozesse (CVD), zum Beispiel plasmaverstärkte chemische Aufdampfung (PECVD) oder LPCVD, ausgebildet.
  • Die vorliegende Offenbarung hat verschiedene beispielhafte Ausführungsformen beschrieben. Gemäß einer Ausführungsform enthält ein Verfahren zum Bilden einer Zwischenverbindungsstruktur das Ausbilden einer Isolierschicht auf einem Substrat. Eine Damaszen-Öffnung wird durch einen Dickenabschnitt der Isolierschicht hindurch ausgebildet. Eine Diffusionssperrschicht wird gebildet, um die Damaszen-Öffnung auszukleiden. Eine leitfähige Schicht wird über der Diffusionssperrschicht gebildet, um die Damaszen-Öffnung auszufüllen. Eine kohlenstoffhaltige Metalloxidschicht wird auf der leitfähigen Schicht und der Isolierschicht ausgebildet.
  • Gemäß einer anderen Ausführungsform enthält ein Verfahren zum Bilden eines Kupfer-Damaszens das Bereitstellen eines Substrats und das Ausbilden einer ersten Isolierschicht auf dem Substrat, wobei die erste Isolierschicht ein leitfähiges Element aufweist. Eine erste Ätzstoppschicht wird auf der ersten Isolierschicht und dem leitfähigen Element gebildet. Eine zweite Isolierschicht wird auf der ersten Ätzstoppschicht gebildet. Eine Hartmaskenschicht wird auf der zweiten Isolierschicht gebildet. Die Hartmaskenschicht, die zweite Isolierschicht und die erste Ätzstoppschicht werden nacheinander geätzt, um eine Damaszen-Öffnung in der zweiten Isolierschicht zu bilden. Eine Diffusionssperrschicht wird gebildet, um die Damaszen-Öffnung auszukleiden, und eine leitfähige Schicht wird über der Diffusionssperrschicht gebildet. Die zweite Isolierschicht und die leitfähige Schicht werden planarisiert, um eine metallische Zwischenverbindungsstruktur zu bilden, und eine kohlenstoffhaltige Metalloxidschicht wird auf der zweiten Isolierschicht und der leitfähigen Schicht gebildet.
  • Gemäß einer anderen Ausführungsform enthält ein Halbleiterbauelement ein Substrat mit einem leitfähigen Element und einer Zwischenverbindungsstruktur. Die Zwischenverbindungsstruktur enthält eine Isolierschicht über dem Substrat, eine leitfähige Schicht in der Isolierschicht, die das leitfähige Element elektrisch verbindet, und eine kohlenstoffhaltige Metalloxidschicht auf der leitfähigen Schicht und der Isolierschicht.
  • In der obigen detaillierten Beschreibung wurden konkrete beispielhafte Ausführungsformen beschrieben. Dem Fachmann ist allerdings klar, dass verschiedene Modifizierungen, Strukturen, Prozesse und Änderungen daran vorgenommen werden können, ohne von dem allgemeinen Geist und Geltungsbereich der vorliegenden Offenbarung abzuweichen. Die Spezifikation und die Zeichnungen sind dementsprechend als veranschaulichend und nicht als einschränkend anzusehen. Es versteht sich, dass Ausführungsformen der vorliegenden Offenbarung auch mit verschiedenen anderen Kombinationen und Umgebungen funktionieren und innerhalb des Geltungsbereichs der Ansprüche geändert und modifiziert werden können.

Claims (17)

  1. Verfahren zum Bilden einer Zwischenverbindungsstruktur, das Folgendes umfasst: Ausbilden einer Isolierschicht auf einem Substrat; Ausbilden einer Damaszen-Öffnung durch einen Dickenabschnitt der Isolierschicht hindurch; Ausbilden einer Diffusionssperrschicht, um die Damaszen-Öffnung auszukleiden; Ausbilden einer leitfähigen Schicht über der Diffusionssperrschicht, um die Damaszen-Öffnung auszufüllen; und Ausbilden einer kohlenstoffhaltigen Metalloxidschicht auf der leitfähigen Schicht und der Isolierschicht.
  2. Verfahren nach Anspruch 1, wobei die Kupferschicht durch einen elektrochemischen Plattierungs(ECP)-Prozess gebildet wird.
  3. Verfahren nach Anspruch 1 oder 2, wobei die kohlenstoffhaltige Metalloxidschicht durch ein Aufschleuderbeschichtungsverfahren auf die leitfähige Schicht und die Isolierschicht aufbeschichtet wird.
  4. Verfahren zum Bilden eines Kupfer-Damaszens, das Folgendes umfasst: Bereitstellen eines Substrats; Ausbilden einer ersten Isolierschicht auf dem Substrat, wobei die erste Isolierschicht ein leitfähiges Element aufweist; Ausbilden einer ersten Ätzstoppschicht auf der ersten Isolierschicht und dem leitfähigen Element; Ausbilden einer zweiten Isolierschicht auf der ersten Ätzstoppschicht; Ausbilden einer Hartmaskenschicht auf der zweiten Isolierschicht; sequenzielles Ätzen der Hartmaskenschicht, der zweiten Isolierschicht und der ersten Ätzstoppschicht, um eine Damaszen-Öffnung in der zweiten Isolierschicht zu bilden; Ausbilden einer Diffusionssperrschicht, um die Damaszen-Öffnung auszukleiden; Ausbilden einer leitfähigen Schicht über der Diffusionssperrschicht; Planarisieren der zweiten Isolierschicht und der leitfähigen Schicht, um eine metallische Zwischenverbindungsstruktur zu bilden; und Ausbilden einer kohlenstoffhaltigen Metalloxidschicht auf der zweiten Isolierschicht und der leitfähigen Schicht.
  5. Verfahren nach Anspruch 4, wobei die leitfähige Schicht durch einen elektrochemischen Plattierung(ECP)-Prozess gebildet wird, um die Damaszen-Öffnung auszufüllen.
  6. Verfahren nach Anspruch 4 oder 5, wobei die kohlenstoffhaltige Metalloxidschicht auf der zweiten Isolierschicht und der leitfähigen Schicht durch ein Aufschleuderbeschichtungsverfahren gebildet wird.
  7. Verfahren nach einem der Ansprüche 4 bis 6, das des Weiteren Folgendes umfasst: Ausbilden einer zweiten Ätzstoppschicht auf der kohlenstoffhaltigen Metalloxidschicht.
  8. Verfahren nach einem der Ansprüche 1 bis 7, wobei die kohlenstoffhaltige Metalloxidschicht durch einen Solgel-Prozess gebildet wird.
  9. Verfahren nach einem der Ansprüche 1 bis 8, wobei die kohlenstoffhaltige Metalloxidschicht durch Kombinieren einer chemischen Verbindung mit einem Chelatbildner, der eine Kohlenstoffquelle aufweist, gebildet wird.
  10. Verfahren nach Anspruch 9, wobei die chemische Verbindung Aluminium-sec-butoxid ((Al(OBu2)) enthält und der Chelatbildner Acetylaceton (AcAcH) enthält.
  11. Halbleiterbauelement, das Folgendes umfasst: ein Substrat mit einem leitfähigen Element; und eine Zwischenverbindungsstruktur, die Folgendes umfasst: eine Isolierschicht über dem Substrat; eine leitfähige Schicht in der Isolierschicht, die das leitfähige Element elektrisch verbinden; und eine kohlenstoffhaltige Metalloxidschicht auf der leitfähigen Schicht und der Isolierschicht.
  12. Halbleiterbauelement nach Anspruch 11, wobei die leitfähige Schicht Kupfer oder eine Kupferlegierung umfasst und die leitfähige Schicht eine Leitung und einen leitfähigen Stecker umfasst, wobei sich die Leitung über dem leitfähigen Stecker befindet.
  13. Halbleiterbauelement nach Anspruch 12, das des Weiteren Folgendes umfasst: eine Diffusionssperrschicht, die an einer Seitenwand und einer Bodenfläche der Leitung und an einer Seitenwand und einer Bodenfläche des leitfähigen Steckers ausgebildet ist.
  14. Halbleiterbauelement nach einem der Ansprüche 11 bis 13, wobei die kohlenstoffhaltige Metalloxidschicht eine Dicke von etwa 50 Ångström bis etwa 500 Ångström hat.
  15. Halbleiterbauelement nach Anspruch 12, wobei die Isolierschicht einen dielektrischen Isolator mit niedrigem K-Wert umfasst, der eine Dielektrizitätskonstante von kleiner als etwa 2,0 aufweist.
  16. Halbleiterbauelement nach Anspruch 15, wobei die Isolierschicht SiOC umfasst.
  17. Halbleiterbauelement nach einem der Ansprüche 11 bis 16, wobei die kohlenstoffhaltige Metalloxidschicht Al, Co, Mn, Cr, Fe, Au, Ag, Na, Ti, Zn oder Ca umfasst.
DE201310106840 2013-03-08 2013-07-01 Zwischenverbindungsstruktur, die Schäden an der Isolierschicht vermeidet, und Verfahren für ihre Herstellung Pending DE102013106840A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/790,850 US20140252619A1 (en) 2013-03-08 2013-03-08 Interconnect structure that avoids insulating layer damage and methods of making the same
US13/790,850 2013-03-08

Publications (1)

Publication Number Publication Date
DE102013106840A1 true DE102013106840A1 (de) 2014-09-11

Family

ID=51385440

Family Applications (1)

Application Number Title Priority Date Filing Date
DE201310106840 Pending DE102013106840A1 (de) 2013-03-08 2013-07-01 Zwischenverbindungsstruktur, die Schäden an der Isolierschicht vermeidet, und Verfahren für ihre Herstellung

Country Status (3)

Country Link
US (2) US20140252619A1 (de)
DE (1) DE102013106840A1 (de)
TW (1) TWI538100B (de)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9318447B2 (en) * 2014-07-18 2016-04-19 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of forming vertical structure
US11315893B2 (en) * 2020-03-25 2022-04-26 Nanya Technology Corporation Semiconductor device with composite connection structure and method for fabricating the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6703708B2 (en) * 2000-03-07 2004-03-09 Asm International N.V. Graded thin films
US20060255463A1 (en) * 2003-07-01 2006-11-16 Samsung Electronics Co., Ltd. Electrical interconnection, and image sensor having the electrical interconnection
US20100308463A1 (en) * 2009-06-03 2010-12-09 Jengyi Yu Interfacial capping layers for interconnects

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4614673A (en) * 1985-06-21 1986-09-30 The Boeing Company Method for forming a ceramic coating
US4675089A (en) * 1985-11-25 1987-06-23 At&T Technologies, Inc. Low temperature deposition method for high quality aluminum oxide films
US6107638A (en) * 1997-03-14 2000-08-22 Kabushiki Kaisha Toshiba Silicon nitride circuit substrate and semiconductor device containing same
TW421670B (en) * 1999-04-02 2001-02-11 Ind Tech Res Inst Fast-cured sol materials
US6284682B1 (en) * 1999-08-26 2001-09-04 The University Of British Columbia Process for making chemically bonded sol-gel ceramics
US6620458B2 (en) * 2001-09-27 2003-09-16 The Regents Of The University Of California Method to produce alumina aerogels having porosities greater than 80 percent
US20040258611A1 (en) * 2003-06-23 2004-12-23 Mark Barrow Colloidal composite sol gel formulation with an expanded gel network for making thick inorganic coatings
US7727880B1 (en) * 2004-11-03 2010-06-01 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
JP4639241B2 (ja) * 2007-02-20 2011-02-23 キヤノン株式会社 光学用部材、それを用いた光学系及び光学用部材の製造方法
US7858510B1 (en) * 2008-02-28 2010-12-28 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
JP5645435B2 (ja) * 2009-03-31 2014-12-24 キヤノン株式会社 酸化アルミニウム前駆体ゾル及び光学用部材の製造方法
JP5739189B2 (ja) * 2011-02-24 2015-06-24 三菱マテリアル株式会社 耐摩耗性に優れた表面被覆切削工具の製造方法
EP2645136B1 (de) * 2012-03-29 2017-01-18 Canon Kabushiki Kaisha Optisches Element mit texturierter Struktur und Verfahren zu dessen Herstellung
TWI464888B (zh) * 2012-03-30 2014-12-11 Eternal Materials Co Ltd 太陽能電池的鈍化層及其製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6703708B2 (en) * 2000-03-07 2004-03-09 Asm International N.V. Graded thin films
US20060255463A1 (en) * 2003-07-01 2006-11-16 Samsung Electronics Co., Ltd. Electrical interconnection, and image sensor having the electrical interconnection
US20100308463A1 (en) * 2009-06-03 2010-12-09 Jengyi Yu Interfacial capping layers for interconnects

Also Published As

Publication number Publication date
US20140252619A1 (en) 2014-09-11
US20180254212A1 (en) 2018-09-06
TW201436105A (zh) 2014-09-16
TWI538100B (zh) 2016-06-11

Similar Documents

Publication Publication Date Title
DE112007000215B4 (de) Verfahren zur Herstellen einer Halbleitervorrichtung mit porösem Silizium-Dielektrikum
DE102012111574B4 (de) Verfahren zum Ausbilden einer leitfähigen Dual-Damaszener-Kontaktstruktur undHerstellungsverfahren für eine Halbleitervorrichtung
DE102015107271B4 (de) Zwei- oder mehrteilige Ätzstoppschicht in integrierten Schaltungen
DE102016100002B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung
DE102004010352B4 (de) Reduktion von Schub- bzw. Scherspannungen in Kupfer-Vias in organischem dielektrischen Zwischenschichtmaterial
DE102018107374B4 (de) Physikalischer Dampfabscheidungsprozess für Halbleiterverbindungsstrukturen
DE102014115934B4 (de) Zwei-Schritt-Ausbildung von Metallisierungen
DE102008016425B4 (de) Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
DE112011103146B4 (de) Verfahren zum Verbessern der mechanischen Eigenschaften von Halbleiterzwischenverbindungen mit Nanopartikeln
DE102004037089A1 (de) Technik zur Herstellung einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfermetallisierungsschicht
DE102017127530A1 (de) Verbindungsstruktur und Verfahren
DE102005057075B4 (de) Halbleiterbauelement mit einer Kupferlegierung als Barrierenschicht in einer Kupfermetallisierungsschicht und Verfahren zu dessen Herstellung
DE102007046846A1 (de) Seitenwandschutzschicht
DE102004042169B4 (de) Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben
DE112004001530B4 (de) Versiegelte Poren in Damascene-Strukturen mit Low-k-Material
DE102014118991A1 (de) Verbindungsstruktur für Halbleitervorrichtungen
DE102012206024A1 (de) Verfahren zum Bilden oxideingekapselter leitfähiger Merkmale
DE102004005697A1 (de) Widerstandsfähige Via-Struktur und zugehöriges Herstellungsverfahren
DE102010040071B4 (de) Verfahren zur Wiederherstellung von Oberflächeneigenschaften empfindlicher Dielektrika mit kleinem ε in Mikrostrukturbauelementen unter Anwendung einer in-situ-Oberflächenmodifizierung
DE10244570A1 (de) Liner-Schicht mit geringer Stufenüberdeckung zur Verbesserung des Kontaktwiderstands bei W-Kontakten
DE10260619B4 (de) Verfahren zur Herstellung einer Deckschicht mit antireflektierenden Eigenschaften auf einem Dielektrikum mit kleinem ε
DE10223482A1 (de) Verfahren zum Bilden einer Metallschicht eines Halbleiterelementes
DE102004001853B3 (de) Verfahren zum Herstellen von Kontaktierungsanschlüssen
DE102007035837A1 (de) Halbleiterbauelement mit einer Kornorientierungsschicht
DE102021108491A1 (de) Interconnect-struktur mit stapel aus dielektrischer kappschicht und ätzstoppschicht

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication