DE102010001406A1 - Halbleiterbauelement, das durch ein Austausch-Gate-Verfahren auf der Grundlage eines früh aufgebrachten Austrittsarbeitsmetalls hergestellt ist - Google Patents

Halbleiterbauelement, das durch ein Austausch-Gate-Verfahren auf der Grundlage eines früh aufgebrachten Austrittsarbeitsmetalls hergestellt ist Download PDF

Info

Publication number
DE102010001406A1
DE102010001406A1 DE102010001406A DE102010001406A DE102010001406A1 DE 102010001406 A1 DE102010001406 A1 DE 102010001406A1 DE 102010001406 A DE102010001406 A DE 102010001406A DE 102010001406 A DE102010001406 A DE 102010001406A DE 102010001406 A1 DE102010001406 A1 DE 102010001406A1
Authority
DE
Germany
Prior art keywords
gate electrode
work function
gate
metal
electrode structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102010001406A
Other languages
English (en)
Other versions
DE102010001406B4 (de
Inventor
Gerd 01445 Marxsen
Joachim 35510 Metzger
Robert 01129 Binder
Markus 01277 Lenski
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Original Assignee
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Dresden Module One LLC and Co KG, GlobalFoundries Inc filed Critical GlobalFoundries Dresden Module One LLC and Co KG
Priority to DE102010001406.0A priority Critical patent/DE102010001406B4/de
Priority to US12/914,234 priority patent/US8383500B2/en
Publication of DE102010001406A1 publication Critical patent/DE102010001406A1/de
Application granted granted Critical
Publication of DE102010001406B4 publication Critical patent/DE102010001406B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts

Abstract

In einem Austausch-Gate-Verfahren wird ein Austrittsarbeitsmetall in einer frühen Fertigungsphase, d. h. beim Abscheiden des Gate-Schichtstapels, vorgesehen, wodurch die Anzahl der Abscheideschritte, die in einer späteren Fertigungsphase erforderlich sind, verringert wird. Folglich kann das weitere Austrittsarbeitsmetall und das Elektrodenmetall in die Gate-Gräben auf der Grundlage besserer Prozessbedingungen im Vergleich zu konventionellen Austausch-Gate-Verfahren eingefüllt werden.

Description

  • Gebiet der vorliegenden Erfindung
  • Im Allgemeinen betrifft die vorliegende Erfindung die Herstellung komplexer integrierter Schaltungen mit Transistorelementen, die hoch-kapazitive Gate-Strukturen auf der Grundlage eines dielektrischen Materials mit großem ε aufweisen.
  • Beschreibung des Stands der Technik
  • Die Herstellung moderner integrierter Schaltungen, etwa von CPUs, Speicherbauelementen, ASICs (anwendungsspezifischen integrierten Schaltungen) und dergleichen, erfordert die Herstellung einer großen Anzahl an Schaltungselementen auf einer vorgegebenen Chip-Fläche gemäß einem spezifizierten Schaltungsaufbau, wobei Feldeffekttransistoren eine wichtige Art an Schaltungselementen repräsentieren, die das Leistungsverhalten der integrierten Schaltungen wesentlich bestimmen. Im Allgemeinen wird eine Vielzahl an Prozesstechnologien aktuell eingesetzt, wobei für viele Arten komplexer Schaltungen mit Feldeffekttransistoren die CMOS-Technologie eine der vielversprechendsten Vorgehensweisen aufgrund der guten Eigenschaften im Hinblick auf die Arbeitsgeschwindigkeit und/oder Leistungsaufnahme und/oder Kosteneffizienz ist. Während der Herstellung komplexer integrierter Schaltungen unter Anwendung von beispielsweise der CMOS-Technologie werden Millionen Transistoren, d. h. n-Kanaltransistoren und p-Kanaltransistoren, auf einem Substrat hergestellt, das eine kristalline Halbleiterschicht aufweist. Ein Feldeffekttransistor enthält, unabhängig davon, ob ein n-Kanaltransistor oder ein p-Kanaltransistor betrachtet wird, sogenannte pn-Übergänge, die eine Grenzfläche repräsentieren, die durch stark dotierte Gebiete, die als Drain- und Source-Gebiete bezeichnet sind, und ein leicht dotiertes oder nicht dotiertes Gebiet, etwa ein Kanalgebiet, gebildet ist, das zwischen den stark dotierten Gebieten angeordnet ist. In einem Feldeffekttransistor ist die Leitfähigkeit des Kanalgebiets, d. h. der Durchlassstrom des leitenden Kanals, durch eine Gate-Elektrode gesteuert, die benachbart zu dem Kanalgebiet angeordnet und davon durch eine dünne isolierende Schicht getrennt ist. Die Leitfähigkeit des Kanalgebiets beim Aufbau eines leitenden Kanals aufgrund des Anlegens einer geeigneten Steuerspannung an die Gate-Elektrode hängt von der Dotierstoffkonzentration, der Beweglichkeit der Ladungsträger und – für eine gegebene Abmessung des Kanalgebiets in der Transistorbreitenrichtung – von dem Abstand zwischen dem Source-Gebiet und dem Drain-Gebiet ab, der auch als Kanallänge bezeichnet wird. Somit beeinflusst die Leitfähigkeit des Kanalgebiets wesentlich das Verhalten der MOS-Transistoren. Da die Geschwindigkeit des Aufbaus des Kanals, was wiederum von der Leitfähigkeit der Gate-Elektrode abhängt, und der Kanalwiderstand wesentlich die Transistoreigenschaften bestimmen, ist daher die Skalierung der Kanallänge – und damit verknüpft die Verringerung des Kanalwiderstands – ein wichtiges Entwurfskriterium, um eine Zunahme der Arbeitsgeschwindigkeit integrierter Schaltungen zu erreichen.
  • Gegenwärtig beruht der Hauptanteil integrierter Schaltungen auf Silizium aufgrund der nahezu unbegrenzten Verfügbarkeit, aufgrund der gut verstandenen Eigenschaften des Siliziums und zugehöriger Materialien und Prozesse und aufgrund der Erfahrung, die über die letzten 50 Jahre gewonnen wurde. Daher bleibt Silizium mit hoher Wahrscheinlichkeit das Material der Wahl für künftige Schaltungsgenerationen, die für Massenprodukte vorgesehen sind. Ein Grund für die große Bedeutung des Siliziums bei der Herstellung von Halbleiterbauelementen sind die guten Eigenschaften einer Silizium/Siliziumdioxidgrenzfläche, die eine zuverlässige elektrische Isolierung unterschiedlicher Gebiete voneinander ermöglicht. Die Silizium/Siliziumdioxid-Grenzfläche ist bei hohen Temperatur stabil und ermöglicht das Ausführen nachfolgender Hochtemperaturprozesse, wie sie beispielsweise für Ausheizprozesse erforderlich sind, um Dotierstoffe zu aktivieren und um Kristallschäden auszuheilen, ohne die elektrischen Eigenschaften der Grenzfläche zu beeinträchtigen.
  • Aus den zuvor dargelegten Gründen wird Siliziumdioxid vorzugsweise als ein Basismaterial einer Gate-Isolationsschicht in Feldeffekttransistoren verwendet, die die Gate-Elektrode, die häufig aus Polysilizium oder metallenthaltenden Materialien aufgebaut ist, von dem Siliziumkanalgebiet trennt. Beim stetigen Verbessern des Verhaltens von Feldeffekttransistoren wurde die Länge des Kanalgebiets stetig verringert, um die Schaltgeschwindigkeit und den Durchlassstrom zu verbessern. Es zeigt sich, dass eine Verringerung der Kanallänge eine höhere kapazitive Kopplung zwischen der Gate-Elektrode und dem Kanalgebiet erfordert, um das sogenannte Kurzkanalverhalten während des Transistorbetriebs zu vermeiden. Das Kurzkanalverhalten kann zu einem erhöhten Leckstrom und zu einer ausgeprägten Abhängigkeit der Schwellwertspannung von der Kanallänge führen. Aggressiv skalierte Transistorbauelemente mit einer relativ geringen Versorgungsspannung und damit einer reduzierten Schwellwertspannung weisen eine exponentielle Zunahme der Leckströme auf, während auch eine höhere kapazitive Kopplung der Gate-Elektrode to das Kanalgebiet erforderlich ist. Daher muss die Dicke der Siliziumdioxidschicht entsprechend verringert werden, um die erforderliche Kapazität zwischen dem Gate- und dem Kanalgebiet zu erzeugen. Beispielsweise erfordert eine Kanallänge von ungefähr 0,08 μm ein Gate-Dielektrikum aus Siliziumdioxid mit einer Dicke von ungefähr 1,2 nm. Obwohl im Allgemeinen die Verwendung von Hochgeschwindigkeitstransistoren mit einem extrem kurzen Kanal im Wesentlichen auf Hochgeschwindigkeitssignalwege beschränkt wird, wohingegen Transistoren mit einem längeren Kanal für weniger kritische Signalwege eingesetzt werden, erreicht der relativ hohe Leckstrom, der durch das direkte Tunneln von Ladungsträgern durch eine sehr dünne Siliziumdioxid-Gate-Isolationsschicht hervorgerufen wird, Werte bei einer Oxiddicke im Bereich von 1–2 nm, die nicht mehr mit den thermischen Entwurfserfordernissen für leistungsorientierte Schaltungen verträglich sind.
  • Daher würde das Ersetzen von siliziumdioxidbasierten Dielektrika zumindest teilweise als Material für Gate-Isolationsschichten in Betracht gezogen, insbesondere für extrem dünne siliziumdioxidbasierte Gate-Schichten. Mögliche alternative Materialien sind solche, die eine deutlich höhere Permittivität besitzen, so dass eine physikalisch größere Dicke einer entsprechend ausgebildeten Gate-Isolationsschicht für eine kapazitive Kopplung sorgt, die ansonsten durch eine extrem dünne Siliziumdioxidschicht erreicht würde.
  • Zudem kann das Transistorverhalten verbessert werden, indem ein geeignetes leitendes Material für die Gate-Elektrode so vorgesehen wird, dass das für gewöhnlich verwendete Polysiliziummaterial zumindest in der Nähe des Gate-Dielektrikumsmaterials ersetzt wird, da Polysilizium eine Ladungsträgerverarmung in der Nähe der Grenzfläche zum Gate-Dielektrikum zeigt, wodurch die effektive Kapazität zwischen dem Kanalgebiet und der Gate-Elektrode verringert wird. Es würde daher ein Gate-Stapel vorgeschlagen, in welchem ein dielektrisches Material mit großem ε für eine höhere Kapazität sorgt, während Leckströme auf einem akzeptablem Niveau gehalten werden. Andererseits kann das Nicht-Polysiliziummaterial, etwa Titannitrid und dergleichen, in Verbindung mit anderen Metallen so hergestellt werden, dass es mit dem dielektrischen Material mit großem ε in Verbindung steht, wodurch im Wesentlichen das Auftreten einer Verarmungszone vermieden wird und wodurch eine höhere Leitfähigkeit im Vergleich zu dem dotierten Polysiliziummaterial erreicht wird. Da die Schwellwertspannung der Transistoren, die die Spannung repräsentiert, bei der sich ein leitender Kanal in dem Kanalgebiet ausbildet, wesentlich durch die Austrittsarbeit des metallenthaltenden Gate-Material bestimmt ist, muss eine geeignete Einstellung der wirksamen Austrittsarbeit in Bezug auf die Leitfähigkeitsart des betrachteten Transistors und dessen Leistungsverhalten sichergestellt sein.
  • Das Vorsehen unterschiedlicher Metallsubstanzen für das Einstellen der Austrittsarbeit der Gate-Elektrodenstrukturen für p-Kanaltransistoren und n-Kanaltransistoren in einer frühen Fertigungsphase kann jedoch mit einer Reihe von Schwierigkeiten verknüpft sein, die sich aus der Tatsache ergeben, dass eine komplexe Strukturierungssequenz während der Herstellung des aufwändigen Metall-Gate-Stapels mit großem ε erforderlich ist, das zu einer ausgeprägten Variabilität der Geometrie der Gate-Elektrodenstrukturen und der resultierenden Austrittsarbeit und somit Schwellwertspannung der fertig gestellten Transistorstrukturen führen kann. Während einer entsprechenden Fertigungssequenz kann ferner das Material mit großem ε die Einwirkung von Sauerstoff unterliegen, was zu einer Zunahme der Schichtdicke und damit zu einer Verringerung der kapazitiven Kopplung führen kann. In anderen Vorgehensweisen werden geeignete Austrittsarbeitsmetalle in das Gate-Dielektrikumsmaterial vor dem eigentlichen Strukturieren der Gate-Elektrodenstrukturen hineinverteilt, wodurch Dipolladungen in dem Gate-Dielektrikumsmaterial angeordnet werden, wie dies zum Einstellen der gewünschten Austrittsarbeit für die unterschiedlichen Transistorarten erforderlich ist. Während der weiteren Bearbeitung ist somit unter Umständen aufgrund der ausgeprägten Temperaturabhängigkeit eine genaue Steuerung des thermischen Budgets erforderlich, was wiederum zu einer erhöhten Variabilität der Transistoreigenschaften beitragen kann. Ferner erfordert das Kanalgebiet einer Transistorart häufig eine Bandlückenverschiebung im Vergleich zu einem reinen Siliziumkanal, um damit eine gewünschte Austrittsarbeit auf der Grundlage eines speziellen Austrittsarbeitsmetalls, etwa Aluminium für p-Kanaltransistoren, zu erreichen, wobei jedoch die Einstellung der Bandlückenverschiebung typischerweise bewerkstelligt wird, indem ein Halbleitermaterial, etwa eine Silizium/Germanium-Mischung, epitaktisch in dem Kanalgebiet erzeugt wird, was wiederum gut gesteuerte Prozesse erfordert, die den gesamten Prozessdurchsatz verringern.
  • Aus diesen Gründen wird in anderen Vorgehensweisen der anfängliche Gate-Elektroden-Stapel mit einem hohen Grade an Kompatibilität zu konventionellen Polysilizium-basierten Prozessstrategien bereitgestellt und zumindest das Abscheiden des eigentlichen Elektrodenmetalls und die endgültige Einstellung der Austrittsarbeit der Transistoren wird in einer sehr fortgeschrittenen Fertigungsphase bewerkstelligt, d. h. nach dem Fertigstellen der grundlegenden Transistorstruktur. In einem entsprechenden Austausch-Gate-Verfahren wird das dielektrische Material mit großem ε erzeugt und durch ein geeignetes metallenthaltendes Material, etwa Titannitrid und dergleichen, abgedeckt, woran sich ein standardmäßiges Polysiliziummaterial oder ein amorphes Siliziummaterial anschließt, das dann auf der Grundlage gut etablierter aufwändiger Lithografie- und Ätztechniken strukturiert wird. Während der Prozesssequenz zum Strukturieren der Gate-Elektrodenstruktur wird somit das empfindliche dielektrische Material mit großem ε durch das metallenthaltende Material geschützt, möglicherweise in Verbindung mit komplexen Seitenwandabstandshalterstrukturen, wodurch eine unerwünschte Materialmodifizierung während der weiteren Bearbeitung im Wesentlichen vermieden wird. Nach dem Strukturieren der Gate-Elektrodenstruktur kommen typischerweise konventionelle und gut etablierte Prozesstechniken zur Herstellung von Drain- und Source-Gebieten mit dem gewünschten komplexen Dotierstoffprofil zur Anwendung. Nach jeglichen Hochtemperaturprozessen wird die Bearbeitung fortgesetzt, beispielsweise durch Erzeugen eines Metallsilizids, falls dieses erforderlich ist, woran sich das Abscheiden eines dielektrischen Zwischenschichtmaterials anschließt, etwa in Form von Siliziumnitrid in Verbindung mit Siliziumdioxid und dergleichen. In dieser Fertigungsphase wird eine obere Fläche der Gate-Elektrodenstrukturen, die in dem dielektrischen Zwischenschichtmaterial eingebettet sind, freigelegt, etwa durch CMP (chemisch-mechanisches Polieren), und dergleichen. Das Polysiliziummaterial wird in einem selektiven Ätzprozess entfernt und anschließend wird ein geeignetes Maskierungsschema angewendet, um selektiv ein geeignetes Austrittsarbeitsmetall für jede art von Transistor einzufüllen, was bewerkstelligt werden kann, indem zunächst die erste Metallsubstanz eingefüllt wird und diese selektiv von einer der Gate-Elektrodenstrukturen entfernt wird. Daraufhin wird ein weiteres Metallmaterial abgeschieden, wodurch die gewünschte Austrittsarbeit für jede Art an Transistor erreicht wird. Schließlich werden die Gate-Elektroden mit einem Elektrodenmetall aufgefüllt, wodurch eine Vollmetall-Gate-Elektrodenstruktur für n-Kanaltransistoren und p-Kanaltransistoren bereitgestellt wird.
  • Obwohl im Allgemeinen diese Vorgehensweise Vorteile im Hinblick auf das Reduzieren von prozessabhängigen Ungleichmäßigkeiten hinsichtlich der Schwellwertspannung der Tran sistoren bietet, da das dielektrische Material mit großem ε zuverlässig während des gesamten Prozessablaufs eingeschlossen ist, ohne dass eine Einstellung der Austrittsarbeit und somit der Schwellwertspannung in einer frühen Fertigungsphase erforderlich ist, kann die komplexe Prozesssequenz zum Entfernen des Platzhaltermaterials und zum Bereitstellen geeigneter Austrittsarbeitsmaterialien für die unterschiedlichen Transistorarten zu einem wesentlichen Grad an Variabilität der Transistoreigenschaften führen, was somit zu einem Aufheben zumindest einiger der Vorteile führen kann, die durch die gemeinsame Bearbeitung der Gate-Elektrodenstrukturen bis zur Fertigstellung der Transistorstruktur erreicht werden.
  • Anders ausgedrückt, durch das kontinuierliche Verringern der Strukturgrößen und somit der Gate-Lange der Transistoren erfordert das Herstellen der Gate-Öffnungen oder Gräben, die beim Entfernen des Platzhalter-Polysiliziummaterials in der fortgeschrittenen Fertigungsphase erzeugt werden, sehr komplexe Abscheidetechniken zum Aufbringen des ersten Austrittsarbeitsmetalls und zum Abscheiden des zweiten Austrittsarbeitsmetalls nach dem Entfernen des ersten Austrittsarbeitsmetalls aus einem der Gate-Gräben. Nachfolgend muss das eigentliche Elektrodenmaterial in die Gate-Gräben eingefügt werden. Folglich können durch Abscheidung hervorgerufene Unregelmäßigkeiten, etwa Hohlräume oder Säume, die etwa in dem eigentlichen Elektrodenmetall hervorgerufen werden, zu ausgeprägten Variationen des elektrischen Leistungsverhaltens der Gate-Elektrodenstrukturen führen. Beim Anwenden komplexer Abscheidetechniken zum Einfüllen der Austrittsarbeitsmetalle, etwa beim Einrichten von Abscheidebedingungen, in denen vorzugsweise die Abscheidung an der Unterscheide der Gate-Gräben im Vergleich zu den Seitenwandoberflächenbereichen auftritt, können Schwankungen der Dicke zumindest zu ausgeprägten Schwellwertspannungsänderungen führen. Beim Anwenden derartiger aufwändiger Abscheidetechniken ist es zudem sehr schwierig, in geeigneter Weise die Austrittsarbeitswerte durch Abscheiden einer gewünschten speziellen Dicke an der Unterseite eines betrachteten Gate-Grabens einzustellen. Im Allgemeinen ist somit die Verringerung der Dicke des Austrittsarbeitsmetalls zum Erreichen des unter Abscheidebedingungen daher eine vielversprechende Option im Hinblick auf das Erhalten der gewünschten Austrittsarbeit der Gate-Elektrodenstrukturen. Bei der weiteren Größenreduzierung der Bauelemente können somit die durch Abscheidung hervorgerufenen Schwankungen der Transistoreigenschaften den konventionellen Austausch-Gate-Verfahren zunehmen.
  • Angesichts der zuvor beschriebenen Situation betrifft die vorliegende Erfindung Fertigungstechniken und Halbleiterbauelemente, in denen Metall-Gate-Elektrodenstrukturen mit großem E auf der Grundlage eines Austausch-Gate-Verfahrens hergestellt werden, um Vollmetall-Gate-Elektrodenstrukturen bereitzustellen, wobei eines oder mehrere der oben erkannten Probleme vermieden oder zumindest in der Auswirkung reduziert wird.
  • Überblick über die vorliegende Erfindung
  • Die vorliegende Erfindung stellt allgemein Fertigungstechniken und Halbleiterbauelemente bereit, in denen die Anzahl an Abscheideprozessen, die in einem Austausch-Gate-Verfahren in einer sehr späten Fertigungsphase anzuwenden sind, verringert wird, in den das Austrittsarbeitsmetall einer Transistorart bei der Herstellung eines Gate-Schichtstapels bereitgestellt wird. Folglich kann die Strukturierung des Gate-Schichtstapels unter Prozessbedingungen erfolgen, die im Wesentlichen für p-Kanaltransistoren und n-Kanaltransistoren gleich sind, etwa im Hinblick auf den Materialaufbau der diversen Materialschichten des Gate-Schichtstapels. Somit wird eine geringere Variabilität der gesamten lateralen Abmessungen der resultierenden Gate-Elektrodenstrukturen erreicht. Ferner kann in einer späten Fertigungsphase das Platzhaltermaterial effizient in beiden Arten an Gate-Elektrodenstrukturen entfernt werden, wobei das freigelegte Austrittsarbeitsmetall selektiv in einer Art an Gate-Elektrodenstrukturen entfernt und durch eine weitere geeignete Arbeitsmetallsorte ersetzt werden kann. Folglich kann die Anzahl an Abscheideprozessen, die zum Bereitstellen der Austrittsarbeitsmetalle für unterschiedliche Transistorarten erforderlich ist, in dieser Fertigungsphase verringert werden, wodurch bessere Abscheidebedingungen für das zweite Austrittsarbeitsmetall und auch für das eigentliche Elektrodenmetall geschaffen werden.
  • Ein anschauliches hierin offenbartes Verfahren umfasst das Bilden einer ersten Gate-Elektrodenstruktur eines ersten Transistors und einer zweiten Gate-Elektrodenstruktur eines zweiten Transistors aus einem Gate-Schichtstapel, der ein Halbleitermaterial, ein dielektrisches Material mit großem ε und eine erste Austrittsarbeitsmetallschicht zum Einstellen einer Austrittsarbeit der ersten Gate-Elektrodenstruktur aufweist. Das Verfahren umfasst ferner das Entfernen des Halbleitermaterials aus der ersten und der zweiten Gate-Elektrodenstruktur, so dass die erste Austrittsarbeitsmetallschicht in der ersten und der zweiten Gate-Elektrodenstruktur freigelegt wird. Des Weiteren umfasst das Verfahren das Entfernen der ersten Austrittsarbeitsmetallschicht aus der zweiten Gate-Elektrodenstruktur und das Bilden einer zweiten Austrittsarbeitsmetallschicht in der zweiten Gate-Elektrodenstruktur. Das Verfahren umfasst ferner das Bilden eines leitenden Elektrodenmaterials in der ersten und der zweiten Gate-Elektrodenstruktur nach dem Bereitstellen der zweiten Austrittsarbeitsmetallschicht.
  • Ein weiteres anschauliches hierin offenbartes Verfahren betrifft die Herstellung eines Halbleiterbauelements. Das Verfahren umfasst das Bilden eines Gate-Schichtstapels über einem ersten aktiven Gebiet eines ersten Transistors und über einem zweiten aktiven Gebiet eines zweiten Transistors, wobei der Gate-Schichtstapel ein Gate-Dielektrikumsmaterial mit großem ε und ein erstes Austrittsarbeitsmetall aufweist, das auf dem dielektrischen Material mit großem ε gebildet ist. Das Verfahren umfasst ferner das Bilden einer ersten Gate-Elektrodenstruktur auf dem ersten aktiven Gebiet und einer zweiten Gate-Elektrodenstruktur auf dem zweiten aktiven Gebiet aus dem Gate-Schichtstapel. Des Weiteren umfasst das Verfahren das Ersetzen des ersten Austrittsarbeitsmetalls in der zweiten Gate-Elektrodenstruktur durch ein zweites Austrittsarbeitsmetall, das sich von dem ersten Austrittsarbeitsmetall unterscheidet. Ferner umfasst das Verfahren das Bilden eines Elektrodenmetalls in der ersten und der zweiten Gate-Elektrodenstruktur.
  • Ein anschauliches hierin offenbartes Halbleiterbauelement umfasst einen ersten Transistor mit einer ersten Gate-Elektrodenstruktur. Die erste Gate-Elektrodenstruktur umfasst eine erste Gate-Isolationsschicht mit einem dielektrischen Material mit großem ε, ein erstes Austrittsarbeitsmetall, das auf der Gate-Isolationsschicht gebildet ist, und ein Elektrodenmetall, das über dem ersten Austrittsarbeitsmetall ausgebildet ist. Des Weiteren umfasst das Halbleiterbauelement einen zweiten Transistor mit einer zweiten Gate-Elektrodenstruktur. Die zweite Gate-Elektrodenstruktur umfasst eine zweite Gate-Isolationsschicht, das das dielektrische Material mit großem ε aufweist, und umfasst ein zweites Austrittsarbeitsmetall, das auf der zweiten Gate-Isolationsschicht gebildet ist und umfasst ferner das Elektrodenmetall, das auf dem zweiten Austrittsarbeitsmetall ausgebildet ist.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1a bis 1k schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen bei der Herstellung von Metall-Gate-Elektrodenstrukturen mit großem ε zeigen, in dem ein Austausch-Gate-Verfahren auf der Grundlage eines Gate-Schichtstapels angewendet wird, der darin eingebaut das Austrittsarbeitsmetall für eine Art an Transistoren gemäß anschaulicher Ausführungsformen aufweist.
  • Detaillierte Beschreibung
  • Obwohl die vorliegende Erfindung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung sowie die Zeichnungen dargestellt sind, sollte beachtet werden, dass die folgende detaillierte Beschreibung sowie die Zeichnungen nicht beabsichtigen, die hierin offenbarte Erfindung auf die speziellen anschaulichen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Erfindung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Die vorliegende Erfindung stellt Fertigungstechniken und Halbleiterbauelemente bereit, in denen die Gate-Elektrodenstrukturen von n-Kanaltransistoren und p-Kanaltransistoren in Form von Vollmetall-Gate-Elektrodenstrukturen bereitgestellt werden, wobei das Elektrodenmetall in einer sehr späten Fertigungsphase auf der Grundlage eines Austausch-Gate-Verfahrens bereitgestellt wird. Des Weiteren werden die Gate-Elektrodenstrukturen auf der Grundlage eines Gate-Schichtstapels hergestellt, der das Austrittsarbeitsmetall für eine Art an Transistoren enthält, wodurch bessere Prozessbedingungen beim Herstellen des Gate-Schichtstapels und bei dessen Strukturierung geschaffen werden, da die gleichen oder zumindest sehr ähnliche Bedingungen für die p-Kanaltransistoren und die n-Kanaltransistoren in dieser Fertigungsphase auftreten. Beispielsweise werden die Gate-Elektrodenstrukturen, die eine moderat dicke Austrittsarbeitsmetallsorte, etwa Aluminium und dergleichen erfordern, auf der Grundlage des Gate-Schichtstapels hergestellt, der darin eingebaut die „dicke” Austrittsarbeitsmetallschicht aufweist, wodurch das Einstellen der Austrittsarbeit unter Anwendung der geeigneten Dicke für ein betrachtetes Material möglich ist, während das Austrittsarbeitsmetall für die andere Transistorart, etwa für n-Kanaltransistoren, in einer sehr späten Fertigungsphase vorgesehen wird. In diesem Falle tritt die geringere Dicke, die für diese Gate-Elektrodenstrukturen erforderlich ist, zu besseren Abscheidebedingungen bei. Folglich kann dann das eigentliche Elektrodenmetall, etwa Aluminium und dergleichen, in die entsprechenden Gate-Öffnungen oder Gräben in einem gemeinsamen Füllprozess eingeführt werden, wobei die Breite der Gate-Öffnung der Gate-Elektrodenstruktur, die das Austrittsarbeitsmetall mit der größeren Dicke erfordert, nicht durch die erforderliche größere Dicke dieses Materials beeinflusst ist. Daher sorgen die besseren Abscheidebedingungen für eine bessere Gleichmäßigkeit der Transistoreigenschaften und bieten ferner die Möglichkeit, die Bauteilabmessungen in äußerst komplexen integrierten Schaltungen weiter zu verringern.
  • Zudem kann bei Bedarf das moderat dicke Austrittsarbeitsmetall mit einem hohen inneren Verspannungspegel vorgesehen werden, wodurch zu besseren Verformungsbedingungen in dem darunter liegenden Kanalgebiet beigetragen wird, wobei dieses Material effizient in der späteren Fertigungsphase beim Ersetzen des verspannten Austrittsarbeitsmetalls durch ein weiteres Austrittsarbeitsmaterial, das für die andere Art an Transistoren geeignet ist, entfernt werden kann. Beispielsweise kann eine Vielzahl an Materialien, die als Austrittsarbeitsmetalle verwendbar sind, mit einem hohen inneren kompressiven Verspannungspegel abgeschieden werden, was vorteilhaft ist für p-Kanaltransistoren, um damit eine kompressive Verformung in dem Kanalgebiet hervorzurufen.
  • Es sollte beachtet werden, dass im Rahmen dieser Anmeldung ein „Austrittsarbeitsmetall” als ein leitendes metallenthaltendes Material zu verstehen ist, das zu einer geeigneten Austrittsarbeit führt, wenn es in oder auf der Gate-Isolationsschicht einer Gate-Elektrodenstruktur hergestellt wird. Das „Austrittsarbeitsmetall” muss nicht ein im Wesentlichen reines Metall repräsentieren, sondern auch kann auch beliebige leitende metallenthaltende Verbindungen umfassen, etwa Metallnitride und dergleichen, etwa Titannitrid, Tantalnitrid und dergleichen. Ferner schließt der Begriff „Austrittsarbeitsmetall” auch Materialzusammensetzung mit ein, in denen gewisse Metallsorten, etwa Aluminium, Lanthanum und dergleichen, in einem geeigneten „Trägermaterial” eingebaut sind, so dass die gewünschte elektrische Leitfähigkeit und der Soll-Austrittsarbeitswert erreicht werden. Beispielsweise können Aluminium, Lanthanum und dergleichen in ein Trägermaterial, etwa Titannitrid, diffundiert werden, wobei eine separate Metallschicht, etwa eine Aluminiumschicht oder eine Lanthanumschicht und dergleichen, nicht notwendigerweise in der fertig gestellten betrachteten Gate-Elektrodenstruktur vorhanden sein muss.
  • Mit Bezug zu den begleitenden Zeichnungen werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben.
  • 1a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100 mit einem Substrat 101, etwa einem Siliziumsubstrat, einem isolierenden Substrat und dergleichen, über welchem eine Halbleiterschicht 102 vorgesehen ist, etwa in Form eines siliziumbasierten Halbleitermaterials, in Form eines Silizium/Germanium-Materials und dergleichen. Es ist jedoch zu beachten, dass das Substrat 101 ein beliebiges geeignetes Trägermaterial repräsentieren kann, um darauf oder darin die Halbleiterschicht 102 bereitzustellen, die wiederum aus einem beliebigen geeigneten Halbleitermaterial aufgebaut ist, das eine Herstellung von Feldeffekttransistoren darin und darüber ermöglicht. Wie zuvor erläutert ist, werden siliziumbasierte Halbleitermaterialien häufig für sehr komplexe Halbleiterbauelemente eingesetzt, die auf der Grundlage von Massenproduktionsverfahren hergestellt werden. Das Substrat 101 in Verbindung mit der Halbleiterschicht 102 kann eine SOI (Silizium-oder-Halbleiter-auf-Isolator)-Konfiguration repräsentieren, wenn ein vergrabenes isolierendes Material (nicht gezeigt) zwischen dem Substrat 101 und der Halbleiterschicht 102 vorgesehen ist. In anderen Ausführungsformen repräsentiert die Halbleiterschicht 102 einen Teil eines kristallinen Materials des Substrats 101, was auch als eine Vollsubstratkonfiguration bezeichnet wird. Des Weiteren kann die Halbleiterschicht 102 auch ein global verformtes Halbleitermaterial sein, wenn die globale Verformung geeignet ist, um das Gesamtverhalten des Halbleiterbauelements 100 zu verbessern.
  • Zu beachten ist, dass das Erzeugen einer gewissen Art an Verformung in dem Kanalgebiet von Feldeffekttransistoren zu einer erhöhten Ladungsträgerbeweglichkeit führen kann, was somit wiederum das Transistorleistungsvermögen verbessert. Aus diesem Grunde werden häufig ein oder mehrere verformungsinduzierende Mechanismen in den gesamten Fertigungsablauf eingebunden, um eine Verformung in p-Kanaltransistoren und/oder n-Kanaltransistoren hervorzurufen. Beispielsweise ist bei einer standardmäßigen Kristallkonfiguration der Halbleiterschicht 102 eine kompressive Verformungskomponente vorteilhaft für p-Kanaltransistoren, während eine Zugverformungskomponente das Leistungsvermögen von n-Kanaltransistoren erhöhen kann. Wie nachfolgend detaillierter beschrieben ist, können diverse Verformungsbedingungen auch auf der Grundlage von Prozesstechniken und hierin beschriebenen Materialsystemen erreicht werden.
  • In der gezeigten Fertigungsphase umfasst die Halbleiterschicht 102 mehrere Halbleitergebiete oder aktive Gebiete 102a, 102b, die als Halbleitergebiete zu verstehen sind, in und über denen ein oder mehrere Transistorelemente herzustellen sind. Die aktiven Gebiete 102a, 102b sind lateral durch geeignete Isolationsstrukturen (nicht gezeigt) begrenzt, etwa durch flache Grabenisolationen und dergleichen. In einer Ausführungsform repräsentiert das aktive Gebiet 102a das aktive Gebiet eines p-Kanaltransistors, während das aktive Gebiet 102b das aktive Gebiet eines n-Kanaltransistors darstellt. In anderen Fällen können andere Gestaltungsvarianten in Verbindung mit der vorliegenden Erfindung verwendet werden. In einigen Fällen repräsentieren die aktiven Gebiete 102a und 102b die aktiven Gebiete von nicht-komplementären Transistoren, die jedoch unterschiedliche Eigenschaften für die jeweiligen Gate-Elektrodenstrukturen erfordern, die noch auf den aktiven Gebieten 102a, 102b herzustellen sind.
  • Des Weiteren ist ein Gate-Schichtstapel 110 auf den aktiven Gebieten 102a, 102b ausgebildet und besitzt einen geeigneten Aufbau, so dass die gewünschten Elektrodeneigenschaften für einen Transistor erhalten werden, der in und über dem aktiven Gebiet 102a zu erzeugen ist. Der Gate-Schichtstapel 110 umfasst daher eine Gate-Dielektrikumsschicht 111, die aus einer beliebigen geeigneten Materialzusammensetzung aufgebaut ist, so dass eine gewünschte hohe kapazitive Kopplung erreicht wird, während Leckströme auf einem akzeptablen Niveau gehalten werden, wie dies zuvor erläutert ist. Die Gate-Dielektrikumsschicht 111 besitzt eine Dielektrizitätskonstante, die insgesamt größer ist als die Dielektrizitätskonstante konventioneller Dielektrizitätsmaterialien, etwa von Siliziumdioxid, Siliziumoxynitrid, Siliziumnitrid und dergleichen. Folglich wird das Gate-Dielektrikumsmaterial 111 als ein Gate-Dielektrikumsmaterial bezeichnet, das ein dielektrisches Material mit großem ε enthält. Beispielsweise kann die Schicht 111 in Form zweier oder mehrerer einzelner Schichten vorgesehen sein, etwa mit einer Siliziumdioxid-basierten Materialschicht 111a mit einer Dicke von ungefähr 1 Nanometer und weniger, woran sich eine weitere dielektrische Materialschicht 112a anschließt, etwa ein dielektrisches Material mit großem ε in Form von Hafniumoxid, Hafniumsiliziumoxid, Zirkonoxid und dergleichen mit einer Dicke von 0,8 bis mehreren Nanometer, wobei dies von den gesamten Bauteilerfordernissen abhängt. Der Gate-Schichtstapel 111 umfasst ferner ein Austrittsarbeitsmetall 112a, das eine beliebige geeignete Materialzusammensetzung aufweist, so dass die gewünschte Austrittsarbeit für eine Gate-Elektrodenstruktur erzeugt wird, die aus dem Gate-Schichtstapel 110 auf dem aktiven Gebiet 102a zu bilden ist. Des Weiteren ist eine Dicke der Schicht 112a, die auch einen wesentlichen Einfluss auf die schließlich erreichte Austrittsarbeit ausüben kann, in geeigneter Weise so einstellbar, dass keine Beschränkungen im Hinblick auf Abscheidebedingungen einzuhalten sind, wie dies in konventionellen Austausch-Gate-Verfahren der Fall ist, in denen jegliche Austrittsarbeitsmetalle in kritische Gate-Gräben abzuscheiden sind, wie dies zuvor erläutert ist. Daher ist in einer anschaulichen Ausführungsform die Dicke der Austrittsarbeitsmetallschicht 112a, die als 112t angegeben ist, größer als eine Dicke eines weiteren Austrittsarbeitsmetalls, das für eine Gate-Elektrodenstruktur zu verwenden ist, die auf dem aktiven Gebiet 112b hergestellt wird. Beispielsweise umfasst die Austrittsarbeitsmetallschicht 112a Titannitrid, möglicherweise in Verbindung mit einer zusätzlichen Metallsorte, etwa Aluminium und dergleichen. Es sollte jedoch auch beachtet werden, dass bei Bedarf ein gewisses Halbleitermaterial an einer Grenzfläche des aktiven Gebiets 102a vorgesehen werden kann, um in Verbindung mit der Austrittsarbeitsmetallschicht 112a die gewünschten elektronischen Eigenschaften zu erreichen.
  • Wie zuvor erläutert ist, wird in einigen anschaulichen Ausführungsformen die Austrittsarbeitsmetallschicht 112a mit einem hohen inneren Verspannungspegel bereitgestellt, um eine gewünschte Verformungskomponente in dem aktiven Gebiet 102a hervorzurufen, während ein Einfluss des inneren Verspannungspegels auf das aktive Gebiet 102b vermieden wird, wenn die Materialschicht 102a in einer späteren Fertigungsphase entfernt wird. Beispielsweise können viele Metalle und Metallnitride, die auch in dem oben definierten Sinne als Metalle zu verstehen sind, mit einer hohen inneren kompressiven Verspannung von mehreren Giga Pascal (GPa) hergestellt werden, wodurch somit zu einer gewünschten Verformungskomponente in dem darunter liegenden aktiven Gebiet 102a beitragen wird. Beispielsweise kann eine hohe kompressive Verformungskomponente das Leistungsverhalten von p-Kanaltransistoren deutlich verbessern.
  • Ferner umfasst der Gate-Schichtstapel 110 ein Platzhaltermaterial 113, etwa ein Halbleitermaterial in Form von Silizium, Silizium/Germanium, und dergleichen. Beispielsweise führt das Vorsehen des Platzhaltermaterials 113 in Form von Silizium zu einem hohen Grade an Kompatibilität zu gut etablierten Prozessstrategien, da eine Vielzahl von Strukturierungsstrategien auf der Grundlage von Siliziummaterial für Gate-Elektrodenstrukturen entwickelt wurden. In anderen Fällen wird das Platzhaltermaterial in Form eines anderen Materials bereitgestellt, das eine effiziente Strukturierung ermöglicht, und das in effizienter Weise in einer späteren Fertigungsphase entfernt werden kann. Das Platzhaltermaterial 113 wird in einigen anschaulichen Ausführungsformen mit im Wesentlichen den gleichen Materialeigenschaften über dem aktiven Gebiet 102a und dem aktiven Gebiet 102b vorgesehen, beispielsweise im Hinblick auf den Dotiergrad, und dergleichen, was ebenfalls zu besseren Prozessbedingungen beim Strukturieren des Gate-Schichtstapels 110 führt. Zum Beispiel wird die Schicht 113 als eine im Wesentlichen nicht dotierte Siliziummaterialschicht vorgesehen oder wird als ein gleichmäßig dotiertes Halbleitermaterial bereitgestellt, wenn dies erforderlich ist, beispielsweise im Hinblick auf das Vorsehen von Nicht-Transistorelementen, etwa Widerständen und dergleichen, in denen das Platzhaltermaterial 113 in einer späteren Fertigungsphase nicht abgetragen wird. Ferner kann der Gate-Schichtstapel weitere Materialien aufweisen, etwa eine dielektrische Deckschicht 114, etwa aufgebaut auf Siliziumnitrid, Siliziumdioxid und dergleichen, wobei dies von der gesamten Prozessstrategie abhängt.
  • Das in 1a gezeigte Halbleiterbauelement 100 kann auf der Grundlage der folgenden Prozesse hergestellt werden. Die aktiven Gebiete 102a, 102b können auf Basis gut etablierter Maskierungsschemata in Verbindung mit Implantationsprozessen hergestellt werden, um die grundlegenden elektronischen Eigenschaften jeweiliger Transistoren einzurichten, die in und über den aktiven Gebieten 102a, 102b herzustellen sind. Vor oder nach dem Einrichten eines geeigneten Dotierstoffprofils in den Gebieten 102a, 102b können Isolationsstrukturen (nicht gezeigt) hergestellt werden, beispielsweise durch Erzeugen von Gräben und durch Wiederauffüllen der Gräben mit einem isolierenden Material gemäß einer geeigneten Fertigungsstrategie. Daraufhin wird das Gate-Dielektrikumsmaterial 111 hergestellt, etwa durch Ausbilden der dielektrischen Basisschicht 111a, etwa in Form von Siliziumdioxid, Siliziumoxynitrid, und dergleichen, unter Anwendung einer geeigneten Prozesstechnik, woran sich das Abscheiden eines dielektrischen Materials mit großem ε, etwa von Hafniumoxid, anschließt, wodurch die Schicht 111b gebildet wird. Als nächstes wird die Austrittsarbeitsmetallschicht 112a aufgebracht, etwa durch Sputter-Abscheidung, CVD und dergleichen, wobei eine gewünschte Materialzusammensetzung erzeugt wird. Zum Beispiel werden Aluminium, Lanthanum, und dergleichen, abhängig von der herzustellenden Transistorart in Verbindung mit Titannitrid und dergleichen, abgeschieden. Wie zuvor erläutert ist, wird auch die Dicke 112t in geeigneter Weise so eingestellt, dass die gewünschte Austrittsarbeit erreicht wird, wodurch für einen hohen Grad an Flexibilität bei der genauen Einstellung der elektronischen Eigenschaften der Gate-Elektrodenstruktur gesorgt wird, die noch aus dem Gate-Schichtstapel 110 zu bilden ist. Daraufhin wird das Platzhaltermaterial 112 aufgebracht, beispielsweise durch gut etablierte CVD-Techniken mit geringem Druck, wenn ein Siliziummaterial betrachtet wird, wobei bei Bedarf eine geeignete Dotierstoffkonzentration eingerichtet werden kann, falls dies erforderlich ist, wie dies auch zuvor erläutert ist. Daraufhin werden weitere Materialien, etwa die Schicht 114 hergestellt, woran sich weitere Materialien anschließen, etwa Hartmaskenmaterialien und dergleichen, wie dies für die nachfolgenden Strukturierung des Gate-Schichtstapels 110 erforderlich ist.
  • 1b zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, ist eine erste Gate-Elektrodenstruktur 110a auf dem aktiven Gebiet 102a und eine zweite Gate-Elektrodenstruktur 110b auf dem aktiven Gebiet 102b hergestellt, wobei die Strukturen 110a, 110b im Wesentlichen den gleichen Aufbau besitzen. Das heißt, die Schichten 111, 112a, 113 und 114 sind in beiden Gate-Elektrodenstrukturen 110a, 110b vorgesehen, wobei die Schicht 112a eine Austrittsarbeit besitzt, die für einen Transistor geeignet ist, der noch auf der Grundlage der Gate-Elektrodenstruktur 110a herzustellen ist.
  • Die Gate-Elektrodenstrukturen 110a, 110b können aus dem Gate-Schichtstapel 110, wie er in 1a gezeigt ist, erzeugt werden, indem geeignete Lithografie- und Ätztechniken eingesetzt werden, beispielsweise unter Anwendung von Hartmaskenmaterialien, etwa amorphem Kohlenstoff, Siliziumoxynitrid und dergleichen, und durch Strukturieren dieser Hartmaskenmaterialien unter Anwendung aufwändiger Lithografieverfahren. Daraufhin wird der Gate-Schichtstapel auf der Grundlage anisotroper Ätzrezepte strukturiert, wobei die Gate-Elektrodenstrukturen 110a, 110b mit einem hohen Grad an Gleichmäßigkeit im Hinblick auf die kritischen Abmessungen oder im Hinblick auf andere strukturierungsabhängige Unregelmäßigkeiten hergestellt werden können, aufgrund der gleichen Konfiguration über dem aktiven Gebiet 102a und 102b. Beispielsweise sind die Ätzchemien, die zum Strukturieren des Platzhaltermaterials 113 verwendet werden, gegebenenfalls empfindlich im Hinblick auf die Dotierstoffkonzentrationen und dergleichen, so dass daher eine ähnliche Querschnittsform der Gate-Elektrodenstrukturen 110a, 110b aufgrund der gleichmäßigen Materialeigenschaften der Schicht 113 erreicht wird. In ähnlicher Weise führt die Strukturierung der verbleibenden Materialschichten zu im Wesentlichen dem gleichen Ätzprofil, wodurch die Strukturen 110a, 110b mit einem hohen Grade an Ähnlichkeit bereitgestellt werden. Folglich kann die Bearbeitung des Bauelements auf der Grundlage besserer Prozessbedingungen fortgesetzt werden, wenn die grundlegende Struktur der Transistorelemente erzeugt wird. Aufgrund der besseren Strukturierungsbedingungen können somit kritische Abmessungen, etwa die Gate-Länge, d. h. in 1b die horizontale Erstreckung der Materialien 112a und 111, 40 Nanometer und weniger mit reduzierter Variabilität im Vergleich zu konventionellen Vorgehensweisen erreicht werden.
  • 1c zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, ist ein erster Transistor 150a in und über dem aktiven Gebiet 102a ausgebildet und weist die Gate-Elektrodenstruktur 110a auf. Der Transistor 150a ist in einer anschaulichen Ausführungsform ein p-Kanaltransistor, wie dies auch zuvor erläutert ist. Ein zweiter Transistor 150b ist in und über dem aktiven Gebiet 102b ausgebildet und repräsentiert einen n-Kanaltransistor und weist die Gate-Elektrodenstruktur 110b auf. Die Gate-Elektrodenstrukturen 110a, 110b enthalten zusätzlich einen Abstandshalter oder eine Beschichtung 117, etwa ein Siliziumnitridmaterial, das die empfindlichen Materialien 111 und 112a umschließt, um damit unerwünschte Modifizierungen der Materialeigenschaften zumindest in der Gate-Elektrodenstruktur 110a zu vermeiden. Die Transistoren 150a, 150b umfassen eine Seitenwandabstandshalterstruktur 153, die an den Seitenwänden der Gate-Elektrodenstrukturen 110a, 110b ausgebildet ist. Die Abstandshalterstruktur 153 besitzt einen geeigneten Aufbau, etwa im Hinblick auf die Anzahl der einzelnen Abstandshalterelemente und jeweilige Ätzstoppschichten, falls diese erforderlich sind, wobei dies von der gesamten Prozessstrategie abhängt. Des Weiteren enthalten die Transistoren 150a, 150b Drain- und Source-Gebiete 151 mit einem geeigneten Dotierstoffprofil, wie dies zuvor erläutert ist. Es sollte beachtet werden, dass die Drain- und Source-Gebiete 151 von inverser Leitfähigkeitsart in den Transistoren 150a und 150b sind, wenn diese komplementäre Transistoren repräsentieren. Die Drain- und Source-Gebiete 151 sind lateral durch ein Kanalgebiet 155 getrennt, das wiederum mit dem Gate-Dielektrikumsmaterial 111 in Verbindung steht. Ferner sind Metallsilizidgebiete 152 in einem Teil der Drain- und Source-Gebiete 151 vorgesehen, wodurch der Gesamtreihenwiderstand in den Transistoren 150a, 150b verringert und wodurch auch der Kontaktwiderstand reduziert wird, wenn Kontaktelemente in einer späteren Fertigungsphase hergestellt werden. In einigen anschaulichen Ausführungsformen, wie dies durch die gestrichelten Linien in 1c gezeigt ist, enthält der Transistor 150a einen verformungsinduzierenden Mechanismus auf der Grundlage einer verformungsinduzierenden Halbleiterlegierung 154, etwa einer Silizium/Germanium-Legierung, und dergleichen. Wie beispielsweise zuvor erläutert ist, kann eine kompressive Verformungskomponente die Ladungsträgerbeweglichkeit in dem Kanalgebiet 155 erhöhen, wenn der Transistor 150a einen p-Kanaltransistor repräsentiert.
  • Das in 1c gezeigte Halbleiterbauelement 100 kann auf der Grundlage der folgenden Prozesse hergestellt werden. Nach dem Strukturieren der Gate-Elektrodenstrukturen 110a, 110b, wie sie in 1b gezeigt sind, wird die schützende Beschichtung oder Abstandshalter 117 durch gut etablierte Abscheidetechniken hergestellt, etwa durch CVD bei geringem Druck, durch Mehrschichten-Abscheidetechniken und dergleichen. Wenn die Halbleiterlegierung 154 selektiv in dem aktiven Gebiet 102a vorzusehen ist, wird eine entsprechende Hartmaskenschicht so hergestellt, dass der Transistor 150b abgedeckt, wobei auch zusätzliche Abstandshalterelemente bei Bedarf an der Gate-Elektrodenstruktur 110a erzeugt werden. Daraufhin werden Aussparungen oder Vertiefungen hergestellt und werden auf der Grundlage selektiver epitaktischer Aufwachstechniken wiederaufgefüllt, wodurch das Material 154 bei Bedarf vorgesehen wird. Als nächstes werden jegliche Opfermaterialien entfernt und die weitere Bearbeitung wird fortgesetzt, indem ein Teil der Abstandshalterstruktur 153 in Verbindung mit einem geeigneten Maskierungs- und Implantationsschema hergestellt werd, um Dotiermittel für die Drain- und Source-Gebiete 151 durchzuführen. Nach Beendigung der Implantationsprozesse können Hochtemperaturprozesse ausgeführt werden, so dass die Dotierstoffsorten aktiviert werden und durch Implantation hervorgerufene Schäden rekristallisiert werden, wodurch das endgültige Dotierstoffprofil der Drain- und Source-Gebiete 151 erzeugt wird. Als nächstes werden die Metallsilizidgebiete 152 auf der Grundlage gut etablierter Silizidierungsverfahren hergestellt, wobei, wie gezeigt ist, in einigen Ausführungsformen die Deckschicht 114 immer noch vorhanden ist, und somit die Ausbildung von Metallsilizid in dem Platzhaltermaterial 112 verhindert, wenn dieses ein Siliziummaterial aufweist.
  • 1d zeigt schematisch das Halbleiterbauelement 100, in welchem ein Teil ein dielektrischen Zwischenschichtmaterial 120 über und lateral benachbart zu den Gate-Elektrodenstrukturen 110a, 110b ausgebildet ist. Das dielektrische Zwischenschichtmaterial 120 besitzt einen beliebigen geeigneten Aufbau und umfasst beispielsweise zwei oder mehr Materialschichten. Beispielsweise ist eine erste dielektrische Schicht 121, etwa ein Siliziumnitridmaterial und dergleichen, in Verbindung mit einem weiteren dielektrischen Material 122 vorgesehen, etwa einem Siliziumdioxidmaterial. Es sollte jedoch beachtet werden, dass die Materialien 121, 122 lediglich anschaulicher Natur sind und auch andere Zusammensetzungen des dielektrischen Zwischensichtmaterials 120 gemäß den gesamten Bauteilerfordernissen vorgesehen werden kann. Zu beachten ist, dass ein Teil des dielektrischen Zwischenschichtmaterials 120 oder das gesamte Material so vorgesehen werden kann, dass einen hohen inneren Verspannungspegel besitzt, der somit das Leistungsverhalten eines oder beider Transistoren 150a, 150b verbessern kann. Zum Beispiel wird das dielektrische Material 121 gegebenenfalls mit einer hohen inneren Verspannung bereitgestellt, die für die Transistoren 150a, 150b unterschiedlich sein kann. Das dielektrische Zwischenschichtmaterial 120 kann auf der Grundlage einer beliebigen geeigneten Prozesstechnik hergestellt werden, beispielsweise durch Abscheiden des Materials 121 unter Anwendung plasmaunterstützter CVD-Techniken, woran sich das Abscheiden des Materials 121 mit einem besseren Spaltvermögen anschließt, beispielsweise durch Abscheiden von Siliziumdioxidmaterial unter Anwendung thermisch aktivierter oder plasmaunterstützter CVD-Techniken.
  • 1e zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, ist das dielektrische Zwischenschichtmaterial 121 eingeebnet, so dass es eine im Wesentlichen ebene Oberfläche 120s besitzt, was bewerkstelligt werden kann, indem ein geeigneter Einebnungsprozess ausgeführt wird, etwa ein CMP (chemischer mechanischer Polier)-Prozess und dergleichen. Ferner wird auch beim Einebnen des Materials 120 auch eine Oberfläche 113s des Platzhaltermaterials 113 freigelegt, was ebenfalls durch einen CMP-Prozess bewerkstelligt werden kann. Zu beachten ist, dass die sehr ähnlichen Konfigurationen der Gate-Elektrodenstrukturen 110a, 110b ebenfalls für eine bessere Prozessgleichmäßigkeit und Prozessrobustheit im Hinblick auf Prozessschwankungen ein Freilegen der Oberflächenbereiche 113s sorgen, wenn das Material 120 eingeebnet wird. Das heißt, jegliche Unterschiede im Höhenniveau zwischen den Gate-Elektrodenstrukturen 110a, 110b können reduziert werden aufgrund der besseren Gleichmäßigkeit der bei vorgehenden Bearbeitung des Bauelements 100, die durch die im Wesentlichen gleiche Konfiguration der Gate-Elektrodenstrukturen 110a, 110b erreicht wird. Ferner kann eine gewünschte Größe der Höhe der Gate-Elektrodenstrukturen 110a, 110b anfänglich vorgesehen werden und kann ebenfalls während des Einebnungsprozesses hinweg zum Freilegen der Oberflächenbereiche 113s beibehalten werden aufgrund der besseren Gleichmäßigkeit und aufgrund der Tatsache, dass bei der weiteren Bearbeitung lediglich ein Austrittsarbeitsmetall für die Gate-Elektrodenstruktur 110b vorzusehen ist.
  • 1f zeigt schematisch das Halbleiterbauelement 100, wenn es der Einwirkung der Ätzumgebung 103 unterliegt, in der geeignete Ätzchemien angewendet werden, so dass das Platzhaltermaterial 113 (siehe 1e) selektiv entfernt wird, während die verbleibenden dielektrischen Materialien, etwa das Material 120, der Abstandshalter 151 in Verbindung mit der Beschichtung 117 als effiziente Ätzstoppmaterialien dienen. Zu diesem Zweck ist eine Vielzahl an nasschemischen Ätzrezepten oder plasmaunterstützten Ätzprozessen möglicherweise in Verbindung mit nasschemischen Ätzschritten verfügbar, um siliziumbasiertes Material zu entfernen. Zumindest in einer Endphase des Ätzprozesses 103 kann die Austrittsarbeitsmetallschicht 112a als ein effizientes Ätzstoppmaterial dienen, wobei ein Materialabtrag weniger kritisch ist und auch berücksichtigt werden kann, indem in geeigneter Weise die anfängliche Dicke der Schicht 112a eingestellt wird. Beispielsweise besitzen nasschemische Ätzrezepte, etwa TMAH, eine deutlich geringere Ätzrate bei einer Vielzahl an „Metallen”, etwa Titannitrid und dergleichen. Beim Entfernen des Platzhaltermaterials zur Erzeugung von Gate-Öffnungen oder Gräben 110t kann somit das Austrittsarbeitsmetall 112a freigelegt werden, ohne dass jedoch im Wesentlichen die gesamten Eigenschaften der Austrittsarbeit für die Gate-Elektrodenstruktur 110a beeinflusst werden, da ein möglicher Materialverlust durch geeignetes Einstellen der anfänglichen Schichtdicke berücksichtigt werden kann.
  • 1g zeigt schematisch das Halbleiterbauelement 100 mit einer Ätzmaske 105, die über der Gate-Elektrodenstruktur 110a ausgebildet ist, wodurch auch der entsprechende Graben 110t aufgefüllt wird. Andererseits bleibt die Gate-Elektrodenstruktur 110b unbedeckt, wodurch das Austrittsarbeitsmetall 112a der Einwirkung einer Ätzumgebung 104 ausgesetzt wird, die auf der Grundlage nasschemischer Chemien und dergleichen eingerichtet wird, um das Material 112a in dem Graben 110t der Gate-Elektrodenstruktur 110b zu entfernen. Dazu ist eine Vielzahl an nasschemischen Reinigungsrezepten verfügbar, um beispielsweise Titannitrid und dergleichen zu ätzen, wobei die Gate-Isolationsschicht 111 als eine effiziente Ätzstoppschicht dienen kann. Es sollte beachtet werden, dass insbesondere dielektrische Materialien mit großem ε, etwa Hafniumoxid, einen hohen Ätzwiderstand im Hinblick auf eine Vielzahl an Ätzchemien bieten, wodurch ein unerwünschter Materialverlust in der Schicht 111 der Gate-Elektrodenstruktur 110b verhindert wird.
  • Die Ätzmaske 105 kann in Form eines beliebigen geeigneten Materials vorgesehen werden, etwa als Polymermaterial, das auf der Grundlage von Lithografietechniken strukturiert wird, während in anderen Fallen andere Hartmaskenmaterialien eingesetzt werden, solange diese Materialien effizient aus dem Graben 110t der Gate-Elektrodenstruktur 110a entfernt werden können.
  • 1h zeigt schematisch das Halbleiterbauelement 100 mit einer Austrittsarbeitsmetallschicht 112b, die über dem dielektrischen Material 120 und in den Gräben 110t der Gate-Elektrodenstrukturen 110a, 110b gebildet ist. Die Austrittsarbeitsmetallschicht 112b ist aus einem geeigneten metallenthaltenden Material aufgebaut, das zu der gewünschten Austrittsarbeit für die Gate-Elektrodenstruktur 110b führt. Beispielsweise kann Lanthanum und dergleichen aufgebracht werden, um eine gewünschte Austrittsarbeit für die Gate-Elektrodenstruktur 110b zu erhalten, wenn diese die Gate-Elektrodenstruktur eines n-Kanaltransistors repräsentiert. Das Abscheiden des Austrittsarbeitsmetalls 112b kann bewerkstelligt werden mittels einer geeigneten Abscheidetechnik, etwa CVD, Sputter-Abscheidung und dergleichen. Bei Bedarf kann beispielsweise eine Abscheidetechnik eingesetzt werden, in der vorzugsweise das Material auf horizontalen Bauteilbereichen aufgebracht wird, während eine Abscheidung an im Wesentlichen vertikalen Bereichen eingeschränkt ist, um damit eine gewünschte Schichtdicke 112s insbesondere an einer Unterseite der Öffnung 110t der Gate-Elektrodenstruktur 110b zu erreichen, wodurch die gewünschte Austrittsarbeit erzielt wird. Zu beachten ist, dass in einigen anschaulichen Ausführungsformen die Dicke 112s der Schicht 112b kleiner ist als die Dicke 112t der Austrittsarbeitsmetallschicht 112a, wodurch bessere Prozessparameter während des Abscheidens der Schicht 112b möglich sind und wodurch bessere Prozessbedingungen während des nachfolgenden Abscheidens des eigentlichen Elektrodenmetalls geschaffen werden, wie dies auch zuvor beschrieben ist. Folglich wird in der gezeigten Ausführungsform die Austrittsarbeitsmetallschicht 112b direkt auf der Austrittsarbeitsmetallschicht 112a in der Gate-Elektrodenstruktur 110a gebildet und wird direkt auf der Gate-Isolationsschicht 111, d. h. beispielsweise auf dem dielektrischen Material mit großem E 111b in der Gate-Elektrodenstruktur 110b gebildet.
  • 1i zeigt schematisch das Halbleiterbauelement 100 gemäß anschaulicher Ausführungsformen, in denen die Ätzmaske 105 noch vorhanden ist, d. h. die Gate-Elektrodenstruktur 110a ist weiterhin durch die Maske 105 abgedeckt, wenn die Austrittsarbeitsmetallschicht 112 aufgebracht wird. Zu diesem Zweck wird die Ätzmaske 105 in Form eines Polymermaterials und dergleichen vorgesehen, das effizient bei höheren Temperaturen und dergleichen entfernt werden kann, wodurch ein effizientes Abtragen zusammen mit dem Material 112b, das darauf gebildet ist, möglich ist. Beispielsweise wird die Ätzmaske 105 effizient durch Laserbestrahlung abgetragen, während welcher das Material 105 „verdampft” wird, während die Schicht 112b mit einer Dicke von 0,8 Nanometer bis mehrere Nanometer im Wesentlichen nicht den Energieeintrag in das Material 105 beeinflusst. Folglich kann das Material 105 entfernt werden, ohne dass andere Bauteilbereiche wesentlich beeinflusst werden.
  • 1j zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase, in der ein Elektrodenmetall oder ein anderes gut leitendes Material 115 über den Gate-Elektrodenstrukturen 110a, 110b hergestellt wird, und somit auch innerhalb der Gate-Öffnungen 110t erzeugt wird. In der gezeigten Ausführungsform ist das Material 115 mit dem Austrittsarbeitsmetallmaterial 112b in der Gate-Elektrodenstruktur 110a in Verbindung, wenn von der Konfiguration gemäß der 1h ausgegangen wird. In anderen anschaulichen Ausführungsformen (nicht gezeigt) wird das Material 115 direkt auf dem Austrittsarbeitsmetall 112a gebildet, wenn der Abscheideprozess auf der Grundlage einer Bauteilkonfiguration ausgeführt wird, wie sie in 1i gezeigt ist. Andererseits wird das Material 115 auf dem Austrittsarbeitsmetall 112b in der Gate-Elektrodenstruktur 110b gebildet. Beispielsweise wird das Elektrodenmetall 115 in Form von Aluminium und dergleichen, abhängig von der gesamten Prozessstrategie vorgesehen. Wie zuvor erläutert ist, wird das Material 115 auf der Grundlage einer beliebigen geeigneten Abscheidetechnik aufgebracht, etwa durch CVD, elektrochemische Abscheidung, Sputter-Abscheidung oder eine Kombination dieser Techniken, wobei eine Breite der Gate-Öffnungen 110t lediglich durch das Austrittsarbeitsmaterial 112b beschränkt ist, wodurch bessere Abscheidebedingungen sichergestellt sind.
  • 1k zeigt schematisch das Halbleiterbauelement 100 nach dem Entfernen von überschüssigem Material, was durch CMP und dergleichen bewerkstelligt werden kann. Folglich umfassen die Gate-Elektrodenstrukturen 110a, 110b das Elektrodenmetall 115 mit besserer Gleichmäßigkeit und mit geringeren durch Abscheidung hervorgerufenen Unregelmäßigkeiten aufgrund der besseren Abscheidebedingungen. Daher umfasst der Transistor 150a die Gate-Elektrodenstruktur 110a mit der Austrittsarbeit, wie sie durch das Austrittsarbeitsmetall 112a definiert ist, das eine geeignete Dicke so aufweist, dass ein wesentlicher Einfluss des Materials 112b, falls dieses in der Gate-Elektrodenstruktur 110a vorgesehen ist, vermieden wird. Andererseits umfasst der Transistor 150b die Gate-Elektrodenstruktur 110b, dessen Austrittsarbeit durch das Austrittsarbeitsmetall 112b bestimmt ist, das in direktem Kontakt mit der Gate-Isolationsschicht 111 sein kann.
  • Daraufhin geht die Bearbeitung weiter, indem ein weiteres dielektrisches Material abgeschieden und dieses strukturiert wird, so dass Kontaktelemente zum Anschluss an die Drain- und Source-Gebiete 151 und zum Anschluss an die Gate-Elektrodenstrukturen 110a, 110b gemäß dem gesamten Schaltungsaufbau des Bauelements 100 hergestellt werden können.
  • Es gilt also: die vorliegende Erfindung stellt Halbleiterbauelemente und Fertigungstechniken bereit, in denen ein Austausch-Gate-Verfahren so angewendet wird, dass Metall-Gate-Elektrodenstrukturen mit großem E bereitgestellt werden, wobei das Abscheiden des Austrittsarbeitsmetalls in einer sehr frühen Fertigungsphase ausgeführt wird, d. h. beim Ausbilden eines Gate-Schichtstapels. Folglich können die Abscheidebedingungen für die Herstellung des weiteren Austrittsarbeitsmetallmaterials und/oder zum Bereitstellen des eigentlichen Elektrodenmetalls verbessert werden, was zu einer besseren Gleichmäßigkeit der Transistoreigenschaften, etwa der Schwellwertspannung und dergleichen, führt.
  • Weitere Modifizierungen und Variationen der vorliegenden Erfindung werden für den Fachmann angesichts der Beschreibung offenkundig. Daher dient diese Beschreibung lediglich anschaulichen Zwecken und soll dem Fachmann die allgemeine Art und Weise des Einrichtens der hierin offenbarten Lehre vermitteln. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen der Erfindung als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.

Claims (20)

  1. Verfahren mit: Bilden einer ersten Gate-Elektrodenstruktur eines ersten Transistors und einer zweiten Gate-Elektrodenstruktur eines zweiten Transistors aus einem Gate-Schichtstapel, der ein Halbleitermaterial, ein dielektrisches Material mit großem ε und eine erste Austrittsarbeitsmetallschicht zum Einstellen einer Austrittsarbeit der ersten Gate-Elektrodenstruktur aufweist; Entfernen des Halbleitermaterials von der ersten und der zweiten Gate-Elektrodenstruktur, so dass die erste Austrittsarbeitsmetallschicht in der ersten und der zweiten Gate-Elektrodenstruktur freigelegt wird; Entfernen der ersten Austrittsarbeitsmetallschicht von der zweiten Gate-Elektrodenstruktur; Bilden einer zweiten Austrittsarbeitsmetallschicht in der zweiten Gate-Elektrodenstruktur; und Bilden eines leitenden Elektrodenmaterials in der ersten und der zweiten Gate-Elektrodenstruktur nach dem Bilden der zweiten Austrittsarbeitsmetallschicht.
  2. Verfahren nach Anspruch 1, wobei Entfernen der ersten Austrittsarbeitsmetallschicht von der zweiten Gate-Elektrodenstruktur umfasst: Freilegen einer Oberfläche der ersten Austrittsarbeitsmetallschicht in der ersten und der zweiten Gate-Elektrodenstruktur und Bilden einer Maske, so dass die erste Gate-Elektrodenstruktur abgedeckt ist.
  3. Verfahren nach Anspruch 1, wobei Bilden der zweiten Austrittsarbeitsmetallschicht umfasst: Abscheiden einer Schicht des zweiten Austrittsarbeitsmetalls auf dem ersten Austrittsarbeitsmetall in der ersten Gate-Elektrodenstruktur und auf dem dielektrischem Material mit großem ε in der zweiten Gate-Elektrodenstruktur.
  4. Verfahren nach Anspruch 2, wobei Bilden der zweiten Austrittsarbeitsmetallschicht umfasst: Abscheiden einer Schicht des zweiten Austrittsarbeitsmetalls in Anwesenheit der Maske.
  5. Verfahren nach Anspruch 1, das ferner umfasst: Bilden eines Teils eines dielektrischen Zwischenschichtmaterials, der lateral benachbart zu der ersten und der zweiten Gate-Elektrodenstruktur angeordnet ist, vor dem Entfernen des Halbleitermaterials von der ersten und der zweiten Gate-Elektrodenstruktur.
  6. Verfahren nach Anspruch 1, wobei die erste Austrittsarbeitsmetallschicht eine Dicke besitzt, die größer ist als eine Dicke der zweiten Austrittsarbeitsmetallschicht.
  7. Verfahren nach Anspruch 1, wobei der erste Transistor ein p-Kanaltransistor und der zweite Transistor ein n-Kanaltransistor ist.
  8. Verfahren zur Herstellung eines Halbleiterbauelements, wobei das Verfahren umfasst: Bilden eines Gate-Schichtstapels über einem ersten aktiven Gebiet eines ersten Transistors und über einem zweiten aktiven Gebiet eines zweiten Transistors, wobei der Gate-Schichtstapel ein Gate-Dielektrikumsmaterial mit großem ε und ein erstes Austrittsarbeitsmetall, das auf dem dielektrischen Material mit großem ε gebildet ist, aufweist; Bilden einer ersten Gate-Elektrodenstruktur auf dem ersten aktiven Gebiet und einer zweiten Gate-Elektrodenstruktur auf dem zweiten aktiven Gebiet aus dem Gate-Schichtstapel; Ersetzen des ersten Austrittsarbeitsmetalls in der zweiten Gate-Elektrodenstruktur durch ein zweites Austrittsarbeitsmetall, das sich von dem ersten Austrittsarbeitsmetall unterscheidet; und Bilden eines Elektrodenmetalls in der ersten und der zweiten Gate-Elektrodenstruktur.
  9. Verfahren nach Anspruch 8, das ferner umfasst: Entfernen eines Platzhaltermaterials der ersten und der zweiten Gate-Elektrodenstruktur vor dem Ersetzen des ersten Austrittsarbeitsmetalls in der zweiten Gate-Elektrodenstruktur.
  10. Verfahren nach Anspruch 9, das ferner umfasst: Bilden einer Maske zur Abdeckung der ersten Gate-Elektrodenstruktur nach dem Entfernen des Platzhaltermaterials und vor dem Ersetzen des ersten Austrittsarbeitsmetalls in der zweiten Gate-Elektrodenstruktur.
  11. Verfahren nach Anspruch 9, wobei Ersetzen des ersten Austrittsarbeitsmetalls in der zweiten Gate-Elektrodenstruktur umfasst: Abscheiden des zweiten Austrittsarbeitsmetalls über dem ersten Austrittsarbeitsmetall in der ersten Gate-Elektrodenstruktur.
  12. Verfahren nach Anspruch 10, wobei Ersetzen des ersten Austrittsarbeitsmetalls in der zweiten Gate-Elektrodenstruktur umfasst: Abscheiden des zweiten Austrittsarbeitsmetalls in Anwesenheit der Maske.
  13. Verfahren nach Anspruch 7, wobei das erste Austrittsarbeitsmetall mit einer ersten Dicke gebildet wird und wobei das zweite Austrittsarbeitsmetall mit einer zweiten Dicke, die kleiner ist als die erste Dicke, gebildet wird.
  14. Verfahren nach Anspruch 7, wobei der erste Transistor ein p-Kanaltransistor und der zweite Transistor ein n-Kanaltransistor ist.
  15. Verfahren nach Anspruch 7, wobei Bilden des Gate-Schichtstapels umfasst: Bilden des ersten Austrittsarbeitsmetalls mit einer hohen inneren Verspannung, so dass eine Verformung in dem ersten aktiven Gebiet hervorgerufen wird.
  16. Verfahren nach Anspruch 15, das ferner umfasst: Implementieren eines verformungsinduzierenden Mechanismus in dem ersten und/oder dem zweiten Transistor.
  17. Halbleiterbauelement mit: einem ersten Transistor mit einer ersten Gate-Elektrodenstruktur, die eine erste Gate-Isolationsschicht mit einem dielektrischen Material mit großem ε, ein auf der Gate-Isolationsschicht gebildetes erstes Austrittsarbeitsmetall und ein Elektrodenmetall, das über dem ersten Austrittsarbeitsmetall gebildet ist, aufweist; und einem zweiten Transistor mit einer zweiten Gate-Elektrodenstruktur, die eine zweite Gate-Isolationsschicht mit dem dielektrischen Material mit großem ε, ein auf der zweiten Gate-Isolationsschicht gebildetes zweites Austrittsarbeitsmetall und das Elektrodenmetall, das auf dem zweiten Austrittsarbeitsmetall gebildet ist, aufweist.
  18. Halbleiterbauelement nach Anspruch 17, wobei die erste Gate-Elektrodenstruktur ferner das zweite Austrittsarbeitsmetall so aufweist, dass es auf dem ersten Austrittsarbeitsmetall gebildet ist.
  19. Halbleiterbauelement nach Anspruch 17, wobei das Elektrodenmetall in der ersten Gate-Elektrodenstruktur auf dem ersten Austrittsarbeitsmetall gebildet ist.
  20. Halbleiterbauelement nach Anspruch 17, wobei eine Dicke des zweiten Austrittsarbeitsmetalls kleiner als eine Dicke des ersten Austrittsarbeitsmetalls und wobei der erste Transistor ein p-Kanaltransistor ist.
DE102010001406.0A 2010-01-29 2010-01-29 Austausch-Gate-Verfahren auf der Grundlage eines früh aufgebrachten Austrittsarbeitsmetalls Expired - Fee Related DE102010001406B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102010001406.0A DE102010001406B4 (de) 2010-01-29 2010-01-29 Austausch-Gate-Verfahren auf der Grundlage eines früh aufgebrachten Austrittsarbeitsmetalls
US12/914,234 US8383500B2 (en) 2010-01-29 2010-10-28 Semiconductor device formed by a replacement gate approach based on an early work function metal

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102010001406.0A DE102010001406B4 (de) 2010-01-29 2010-01-29 Austausch-Gate-Verfahren auf der Grundlage eines früh aufgebrachten Austrittsarbeitsmetalls

Publications (2)

Publication Number Publication Date
DE102010001406A1 true DE102010001406A1 (de) 2011-08-04
DE102010001406B4 DE102010001406B4 (de) 2014-12-11

Family

ID=44315838

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102010001406.0A Expired - Fee Related DE102010001406B4 (de) 2010-01-29 2010-01-29 Austausch-Gate-Verfahren auf der Grundlage eines früh aufgebrachten Austrittsarbeitsmetalls

Country Status (2)

Country Link
US (1) US8383500B2 (de)
DE (1) DE102010001406B4 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102013100414B4 (de) * 2012-03-09 2020-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur Herstellung von Hybrid-High-k/Metall-Gate-Stapeln

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011081202A1 (ja) * 2009-12-29 2011-07-07 キヤノンアネルバ株式会社 電子部品の製造方法、電子部品、プラズマ処理装置、制御プログラム及び記録媒体
DE102011080440B4 (de) * 2011-08-04 2013-04-04 Globalfoundries Inc. Verfahren zur Herstellung von Metallgateelektrodenstrukturen mit großem ε mittels einer frühen Deckschichtanpassung
US9105623B2 (en) * 2012-05-25 2015-08-11 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US8936979B2 (en) * 2012-06-11 2015-01-20 GlobalFoundries, Inc. Semiconductor devices having improved gate height uniformity and methods for fabricating same
CN104347503A (zh) * 2013-07-30 2015-02-11 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US8846467B1 (en) * 2013-09-09 2014-09-30 Globalfoundries Inc. Silicidation of semiconductor devices
US10084093B1 (en) * 2017-05-22 2018-09-25 Globalfoundries Inc. Low resistance conductive contacts
KR102457515B1 (ko) * 2018-02-22 2022-10-21 에스케이하이닉스 주식회사 매립게이트구조를 구비한 반도체장치 및 그 제조 방법
CN113468845A (zh) * 2020-03-31 2021-10-01 中芯国际集成电路制造(上海)有限公司 工艺制造方法、阈值电压的调节方法、设备和存储介质
US11791218B2 (en) * 2020-05-20 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Dipole patterning for CMOS devices

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070215950A1 (en) * 2006-03-20 2007-09-20 Tomonori Aoyama Semiconductor device and manufacturing method thereof
US20080157212A1 (en) * 2006-12-28 2008-07-03 Lavoie Adrien R Tunable gate electrode work function material for transistor applications
EP1959491A2 (de) * 2007-02-16 2008-08-20 Matsushita Electric Industrial Co., Ltd. Halbleiterbauelement und Verfahren zu seiner Herstellung
JP2009224383A (ja) * 2008-03-13 2009-10-01 Tokyo Electron Ltd 基板洗浄装置、基板洗浄方法及び記憶媒体
US20090320479A1 (en) * 2002-08-30 2009-12-31 Lev Alexander Prociw Nested channel ducts for nozzle construction and the like

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7776680B2 (en) * 2008-01-03 2010-08-17 International Business Machines Corporation Complementary metal oxide semiconductor device with an electroplated metal replacement gate
US8536660B2 (en) 2008-03-12 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid process for forming metal gates of MOS devices
JP5147471B2 (ja) * 2008-03-13 2013-02-20 パナソニック株式会社 半導体装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090320479A1 (en) * 2002-08-30 2009-12-31 Lev Alexander Prociw Nested channel ducts for nozzle construction and the like
US20070215950A1 (en) * 2006-03-20 2007-09-20 Tomonori Aoyama Semiconductor device and manufacturing method thereof
US20080157212A1 (en) * 2006-12-28 2008-07-03 Lavoie Adrien R Tunable gate electrode work function material for transistor applications
EP1959491A2 (de) * 2007-02-16 2008-08-20 Matsushita Electric Industrial Co., Ltd. Halbleiterbauelement und Verfahren zu seiner Herstellung
JP2009224383A (ja) * 2008-03-13 2009-10-01 Tokyo Electron Ltd 基板洗浄装置、基板洗浄方法及び記憶媒体

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102013100414B4 (de) * 2012-03-09 2020-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur Herstellung von Hybrid-High-k/Metall-Gate-Stapeln

Also Published As

Publication number Publication date
DE102010001406B4 (de) 2014-12-11
US8383500B2 (en) 2013-02-26
US20110186931A1 (en) 2011-08-04

Similar Documents

Publication Publication Date Title
DE102009055392B4 (de) Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
DE102010001406B4 (de) Austausch-Gate-Verfahren auf der Grundlage eines früh aufgebrachten Austrittsarbeitsmetalls
DE102010029527B4 (de) Verfahren zur Herstellung eines selbstjustierenden Transistors mit Mehrfachgate auf einem Vollsubstrat
DE102009021485B4 (de) Halbleiterbauelement mit Metallgate und einem siliziumenthaltenden Widerstand, der auf einer Isolationsstruktur gebildet ist sowie Verfahren zu dessen Herstellung
DE102007046849B4 (de) Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung
DE102008063427B4 (de) Verfahren zum selektiven Herstellen eines Transistors mit einem eingebetteten verformungsinduzierenden Material mit einer graduell geformten Gestaltung
DE102008046400B4 (de) Verfahren zur Herstellung eines CMOS-Bauelements mit MOS-Transistoren mit abgesenkten Drain- und Sourcebereichen und einem Si/Ge-Material in den Drain- und Sourcebereichen des PMOS-Transistors
DE102010038737B4 (de) Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und eingebetteten verformungsinduzierenden Halbleiterlegierungen
DE102009047306B4 (de) Verfahren zur Herstellung von Gateelektrodenstrukturen durch getrennte Entfernung von Platzhaltermaterialien unter Anwendung eines Maskierungsschemas vor der Gatestrukturierung
DE102011077661B4 (de) Metallgateelektrodenstrukturen und Verfahren zu deren Herstellung durch eine Reduzierung des Gatefüllaspektverhältnisses in einer Austauschgatetechnologie
DE102010001403B4 (de) Austauschgateverfahren auf der Grundlage eines Umkehrabstandhalters, der vor der Abscheidung des Austrittsarbeitsmetalls aufgebracht wird
DE102009039521B4 (de) Verbesserte Füllbedingungen in einem Austauschgateverfahren unter Anwendung einer zugverspannten Deckschicht
DE102009006886B4 (de) Verringerung von Dickenschwankungen einer schwellwerteinstellenden Halbleiterlegierung durch Verringern der Strukturierungsungleichmäßigkeiten vor dem Abscheiden der Halbleiterlegierung
DE102009031110B4 (de) Verbesserte Deckschichtintegrität in einem Gatestapel durch Verwenden einer Hartmaske für die Abstandshalterstrukturierung
DE102009031155A1 (de) Gleichmäßige Metallgatestapel mit großem ε durch Einstellen einer Schwellwertspannung für komplexe Transistoren durch Diffundieren einer Metallsorte vor der Gatestrukturierung
DE102009055435B4 (de) Verstärkter Einschluss von Metallgateelektrodenstrukturen mit großem ε durch Verringern der Materialerosion einer dielektrischen Deckschicht beim Erzeugen einer verformungsinduzierenden Halbleiterlegierung
DE102010063296B4 (de) Herstellungsverfahren mit reduzierter STI-Topograpie für Halbleiterbauelemente mit einer Kanalhalbleiterlegierung
DE102011004320B4 (de) Verfahren zur Herstellung komplementärer Transistoren mit Metallgateelektrodenstrukturen mit großem ε und epitaktisch hergestellten Halbleitermaterialien in den Drain- und Sourcebereichen
DE102010002450B4 (de) Transistoren mit Metallgateelektrodenstrukturen mit großem ε und angepassten Kanalhalbleitermaterialien
DE102008059648B4 (de) Gateelektrodenstruktur mit großem ε, die nach der Transistorherstellung unter Anwendung eines Abstandshalters gebildet wird
DE102010063907B4 (de) Verfahren mit Deckschichtentfernung von Gateelektrodenstrukturen nach selektivem Bilden eines verformungsinduzierenden Halbleitermaterials
DE102009055437A1 (de) Halbleiterwiderstände, die in einem Halbleiterbauelement mit Metallgatestrukturen auf einer geringeren Höhe hergestellt sind
DE102010003451B4 (de) Austauschgateverfahren für Metallgatestapel mit großem ε durch Vermeiden eines Polierprozesses zum Freilegen des Platzhaltermaterials
DE102008063432B4 (de) Verfahren zum Einstellen der Verformung, die in einem Transistorkanal eines FET hervorgerufen wird, durch für die Schwellwerteinstellung vorgesehenes Halbleitermaterial
DE102010064291B4 (de) Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen mit großem ε mit einem polykristallinen Halbleitermaterial und eingebetteten verformungsinduzierenden Halbleiterlegierungen

Legal Events

Date Code Title Description
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee