CN211828769U - 一种叠层芯片封装结构 - Google Patents

一种叠层芯片封装结构 Download PDF

Info

Publication number
CN211828769U
CN211828769U CN202020435874.0U CN202020435874U CN211828769U CN 211828769 U CN211828769 U CN 211828769U CN 202020435874 U CN202020435874 U CN 202020435874U CN 211828769 U CN211828769 U CN 211828769U
Authority
CN
China
Prior art keywords
conductive
electrically connected
electrode
conductive pad
chip
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202020435874.0U
Other languages
English (en)
Inventor
孙闫涛
黄健
张朝志
顾昀浦
宋跃桦
吴平丽
樊君
张丽娜
虞翔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Jiejie Microelectronics Shanghai Technology Co ltd
Original Assignee
Jiejie Microelectronics Shanghai Technology Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Jiejie Microelectronics Shanghai Technology Co ltd filed Critical Jiejie Microelectronics Shanghai Technology Co ltd
Priority to CN202020435874.0U priority Critical patent/CN211828769U/zh
Application granted granted Critical
Publication of CN211828769U publication Critical patent/CN211828769U/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/0601Structure
    • H01L2224/0603Bonding areas having different sizes, e.g. different heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/20Structure, shape, material or disposition of high density interconnect preforms
    • H01L2224/21Structure, shape, material or disposition of high density interconnect preforms of an individual HDI interconnect
    • H01L2224/214Connecting portions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/24221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/24245Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • H01L2224/24247Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic the HDI interconnect not connecting to the same level of the item at which the semiconductor or solid-state body is mounted, e.g. the semiconductor or solid-state body being mounted in a cavity or on a protrusion of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32245Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92242Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92244Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a build-up interconnect

Landscapes

  • Structures Or Materials For Encapsulating Or Coating Semiconductor Devices Or Solid State Devices (AREA)

Abstract

本实用新型公开了一种叠层芯片封装结构,包括:第一芯片,包括一第一背面电极和至少一个第一正面电极;第一重布线部件,包括第一导电垫和第一连接柱,以将所述第一背面电极引至所述叠层芯片封装结构的表面重新排布;第二芯片,包括一第二背面电极和至少一个第二正面电极;第二重布线部件,包括第二导电垫和第二连接柱,以将所述第二背面电极引至所述叠层芯片封装结构的表面重新排布;以及塑封体,包覆第一芯片、第二芯片、第一重布线部件及部分第二重布线部件。本实用新型通过设置第一重布线部件和第二重布线部件,将第一芯片和第二芯片的各个电极引至对应的引脚上重新排布,使得封装设计更具灵活性,封装结构面积更小,集成度更高。

Description

一种叠层芯片封装结构
技术领域
本实用新型涉及半导体技术领域,具体为一种叠层芯片封装结构。
背景技术
随着市场对功率器件集成度要求提高,芯片堆叠技术将使得封装体积更小,提高产品的功率密度。
为了保证功率器件的导电导热性能,传统封装技术很多都是使用铜片作为连接。由于 2层芯片及铜片的堆叠,在回流焊作业过程中很容易出现芯片及铜片倾斜,导致焊料层不均匀对产品的可靠性造成影响。对于MOSFET产品,栅极通常使用打线的方式连接,由于芯片倾斜及助焊剂的污染造成比较高的焊点虚焊及焊接不上。
此封装通过TPV及镀铜工艺实现了芯片的堆叠,解决了传统封装使用铜片堆叠方式引起的相关工艺问题。并且金属铜表面外露,获得更好的散热性能达到更低的热阻。
实用新型内容
本实用新型的目的在于提供一种叠层芯片封装结构,以解决上述背景技术中提出的问题。
为实现上述目的,本实用新型提供了一种叠层芯片封装结构,包括:
第一芯片,所述第一芯片包括相对设置的第一正面和第一背面,所述第一正面上具有至少一个第一正面电极,所述第一背面上具有一第一背面电极;
第一重布线部件,包括第一导电垫和第一连接柱,所述第一导电垫与所述第一背面电极电连接,所述第一连接柱与所述第一导电垫电连接,所述第一重布线部件将所述第一背面电极引至所述叠层芯片封装结构的表面重新排布;
第二芯片,所述第二芯片包括相对设置的第二正面和第二背面,所述第二正面上具有至少一个第二正面电极,所述第二背面上具有一第二背面电极,所述第二背面电极与所述第一导电垫电连接;
第二重布线部件,包括第二导电垫和第二连接柱,所述第二导电垫与所述第二背面电极电连接,所述第二连接柱与所述第二导电垫电连接,所述第二重布线部件将所述第二背面电极引至所述叠层芯片封装结构的表面重新排布;以及
塑封体,包覆所述第一芯片、第二芯片、第一重布线部件及部分第二重布线部件,所述第一连接柱的一表面暴露于所述塑封体的表面,所述第二连接柱的一表面暴露于所述塑封体的表面,所述第二导电垫暴露于所述塑封体的外侧,所述第一正面电极通过导电结构引至所述塑封体的表面。
优选的,所述第一重布线部件还包括多个第一导电柱,所述第一导电柱的一端与所述第一背面电极电连接,另一端与所述第一导电垫电连接。
优选的,所述第二重布线部件还包括多个第二导电柱,所述第二导电柱的一端与所述第二背面电极电连接,另一端与所述第二导电垫电连接。
优选的,所述塑封体的表面还设置有与外界连接的多个引脚导电垫,所述引脚导电垫分别与所述第一正面电极、第一连接柱和第二连接柱电连接。
优选的,叠层芯片封装结构还包括框架基板,所述框架基板包括多个引脚,所述引脚分别与第一正面电极、第一连接柱和第二连接柱电连接,所述塑封体包覆所述框架基板,所述引脚至少部分露出所述塑封体的下表面或侧面。
优选的,所述第二正面电极上植有第一焊球,所述第二正面电极通过所述第一焊球与所述第一导电垫电连接。
优选的,所述第二正面电极上设置有一导电金属层,所述第二正面电极通过所述导电金属层与所述第一导电垫电连接。
优选的,所述第二芯片具有两个以上第二正面电极,部分所述第二正面电极与所述第一导电垫电连接,部分所述第二正面电极通过第三重布线部件引至所述叠层芯片封装结构的表面重新排布。
优选的,所述第三重布线部件包括第三导电垫和第三连接柱,所述第三导电垫与所述第二正面电极电连接,所述第三连接柱与所述第三导电垫连接,所述塑封体包覆所述第三重布线部件,所述第三连接柱的一表面暴露于所述塑封体的表面。
优选的,所述导电结构为第二焊球。
优选的,所述导电结构为一导电金属层。
优选的,所述导电金属层为NiAu或NiPdAu或TiNiAg。
与现有技术相比,本实用新型具有如下有益效果:
(1)本实用新型通过设置第一重布线部件和第二重布线部件,将第一芯片和第二芯片的各个电极引至对应的引脚上重新排布,使得封装设计更具灵活性,封装结构面积更小,集成度更高。
(2)本实用新型导电性能好,可通过较大的电流,且重布线部件的尺寸和厚度可根据导电性能的要求调整尺寸。
(3)本实用新型散热性能好,第二导电垫暴露于塑封体外侧,可有效进行散热。
附图说明
图1为本实用新型第一实施例的封装结构的结构示意图;
图2A至图2G为本实用新型第一实施例的封装过程的工艺流程图;
图3为本实用新型第二实施例的封装结构的结构示意图;
图4A至图4I为本实用新型第二实施例的封装过程的工艺流程图;
图5为本实用新型第三实施例的封装结构的结构示意图;
图6为本实用新型第四实施例的封装结构的结构示意图。
图中:100、第一芯片;110、第一正面电极;111、第二焊球;120、第一背面电极;200、第二芯片;210、第二正面电极;211、第二正面电极A;212、第二正面电极B;213、第一焊球;220、第二背面电极;311、第一正面电极引脚;312、第一背面电极引脚;313、第二正面电极引脚;314、第二背面电极引脚;410、第一导电柱;420、第一导电垫;430、第一连接柱;510、第二导电垫;520、第二连接柱;530、第二导电柱;600、塑封体;610、第一塑封体;611、第一过孔;620、第二塑封体;621、第二过孔;710、第三导电垫;720、第三连接柱;800、引脚导电垫;900、导电基板。
具体实施方式
下面将结合本实用新型实施例中的附图,对本实用新型实施例中的技术方案进行清楚、完整地描述,显然,所描述的实施例仅仅是本实用新型一部分实施例,而不是全部的实施例。基于本实用新型中的实施例,本领域普通技术人员在没有做出创造性劳动前提下所获得的所有其他实施例,都属于本实用新型保护的范围。
应当说明的是,在本文中,诸如第一和第二等之类的关系术语仅仅用来将一个实体或操作与另一个实体或操作区分开来,而不一定要求或暗示这些实体或操作之间存在任何这种实际的关系或者顺序。而且,术语“包括”、“包含”或者其任何其他变体意在涵盖非排他性的包含,从而使得包括一系列要素的过程、方法、物品或者设备不仅包括那些要素,而且还包括没有明确列出的其他要素,或者是还包括为这种过程、方法、物品或者设备所固有的要素。在没有更多限制的情况下,由语句“包括一个……”限定的要素,并不排除在包括所述要素的过程、方法、物品或者设备中还存在另外的相同要素。
第一实施例
图1示出了本实施例的封装结构的结构示意图,包括第一芯片100、第一重布线部件、第二芯片200、第二重布线部件和塑封体600。
所述第一芯片100包括相对设置的第一正面和第一背面,所述第一正面上具有至少一个第一正面电极110,所述第一背面上具有一第一背面电极120。
所述第一重布线部件包括第一导电垫420和第一连接柱430,所述第一导电垫420通过导电材料与所述第一背面电极120电连接,所述第一连接柱430与所述第一导电垫420电连接,所述第一重布线部件将所述第一背面电极120引至所述叠层芯片封装结构的表面重新排布。
所述第二芯片200包括相对设置的第二正面和第二背面,所述第二正面上具有至少一个第二正面电极210,所述第二背面上具有一第二背面电极220,至少一个所述第二正面电极210通过导电材料与所述第一导电垫420电连接,可以理解为,第二芯片200的至少一个第二正面电极210与第一芯片100的第一背面电极120电连接。在本实施例中,所述第二芯片200具有两个第二正面电极210,第二正面电极A211和第二正面电极B212,第二正面电极A211通过导电材料与所述第一导电垫420电连接,第二正面电极B212通过第三重布线部件引至所述叠层芯片封装结构的表面重新排布。
所述第二重布线部件包括第二导电垫510和第二连接柱520,所述第二导电垫510通过导电材料与所述第二背面电极220电连接,所述第二连接柱520与所述第二导电垫510电连接,所述第二重布线部件将所述第二背面电极220引至所述叠层芯片封装结构的表面重新排布。
所述塑封体600包覆所述第一芯片100、第二芯片200、第一重布线部件及部分第二重布线部件,所述第一连接柱430的一表面暴露于所述塑封体600的表面,所述第二连接柱520的一表面暴露于所述塑封体600的表面,所述第二导电垫510暴露于所述塑封体600 的外侧,所述第一正面电极110通过导电结构引至所述塑封体600的表面。
所述第三重布线部件包括第三导电垫710和第三连接柱720,所述第三导电垫710通过导电材料与所述第二正面电极B212电连接,所述第三连接柱720与所述第三导电垫710连接,所述塑封体600包覆所述第三重布线部件,所述第三连接柱720的一表面暴露于所述塑封体600的表面。
所述塑封体600的表面还设置有与外界连接的多个引脚导电垫800,所述引脚导电垫 800分别与所述第一正面电极110、第一连接柱430、第二连接柱520和第三连接柱720电连接。
在本实施例中,第一正面电极110表面植有第二焊球111,第一正面电极110通过第二焊球111与对应的引脚导电垫电连接,第二正面电极210表面植有第一焊球213,第二正面电极A211通过第一焊球213与第一导电垫420电连接,第二正面电极B212通过第一焊球213与第三导电垫710电连接。在其他实施例中,第一正面电极110和第二正面电极 210表面分别设置有一导电金属层,所述导电金属层包括但不限于NiAu或NiPdAu或 TiNiAg,第一正面电极110通过导电金属层与对应的引脚导电垫电连接,第二正面电极A211 通过导电金属层与第一导电垫420电连接,第二正面电极B212通过导电金属层与第三导电垫710电连接。
图2A至图2G示出了本实施例的封装过程的工艺流程图:
如图2A所示,提供一导电基板900,将第二芯片200的第二背面设置在所述导电基板 900上,使得第二背面电极220与所述导电基板900电连接,所述第二芯片200的第一正面具有两个第二正面电极210,第二正面电极A211和第二正面电极B212,在两个所述第二正面电极210上植有第一焊球213,所述导电基板900作为第二导电垫510,较佳地,导电基板900为金属铜。
如图2B所示,进行第一次塑封,形成第一塑封体610,所述第一塑封体610包覆所述第二芯片200和第一焊球213,所述第一焊球213的上表面暴露于第一塑封体610的表面。其中,塑封的方法为本领域的常规方法,例如可采用塑封模具进行塑封。所述第一焊球213 的上表面暴露于所述第一塑封体610表面的方法可以为通过研磨或激光通孔或蚀刻等方式露出所述第一焊球213的上表面。在本发明其他实施例中,所述第一焊球213的上表面暴露于所述第一塑封体610的顶面的方法也可以为在塑封时,调整塑封模具,使得在所述第一焊球213的上表面不覆盖塑封料,从而使得所述第一焊球213的上表面暴露于所述第一塑封体610的表面。
如图2C所示,在所述第一塑封体610表面分别形成第一导电垫420和第三导电垫710,其中第一导电垫420通过第一焊球213与第二正面电极A211电连接,第三导电垫710通过第一焊球213与第二正面电极B213电连接;较佳地,第一导电垫420和第三导电垫710 均为金属铜。
如图2D所示,在第一导电垫420上设置第一芯片100,使得第一芯片100的第一背面电极120通过导电材料与第一导电垫420电连接,所述第一芯片200的第一正面电极110 上植有第二焊球111。
如图2E所示,进行第二次塑封,形成第二塑封体620,所述第二塑封体620包覆所述第一导电垫420、第三导电垫710和第一芯片100,第一芯片100的第二焊球111的上表面暴露于第二塑封体620的表面。
如图2F所示,在所述第二塑封体620的顶面开设第一过孔611,使得第一导电垫420、第三导电垫710和第二导电垫510外露。其中,使得第二导电垫510的内表面外露的第一过孔611还穿透第一塑封体610。其中,采用TPV(Thru-package-Vias)方式开设所述第一过孔611。
如图2G所示,在所述第一过孔611内分别形成第一连接柱430、第二连接柱520和第三连接柱720,其中,第一连接柱430与第一导电垫420电连接,第二连接柱520与第二导电垫510电连接,第三连接柱720与第三导电垫710电连接,并在第一连接柱430、第二连接柱520和第三连接柱720露出第二塑封体620的表面分别形成引脚导电垫800;较佳地,引脚导电垫800为金属铜。可同时形成上述第一连接柱430、第二连接柱520、第三连接柱720和引脚导电垫800,也可先形成第一连接柱430、第二连接柱520、第三连接柱720,再形成引脚导电垫800。之后在引脚导电垫800的外露部分镀铜后并镀锡,切割分离器件,完成整个封装过程。
第二实施例
图3示出了本实施例的封装结构的结构示意图,包括第一芯片100、第一重布线部件、第二芯片200、第二重布线部件和塑封体600。
所述第一芯片100包括相对设置的第一正面和第一背面,所述第一正面上具有至少一个第一正面电极110,所述第一背面上具有一第一背面电极120。
所述第一重布线部件包括第一导电垫420、第一连接柱430和多个第一导电柱410,所述第一导电柱410的一端与所述第一背面电极120电连接,另一端与所述第一导电垫420电连接,所述第一连接柱430与所述第一导电垫420电连接,所述第一重布线部件将所述第一背面电极120引至所述叠层芯片封装结构的表面重新排布。
所述第二芯片200包括相对设置的第二正面和第二背面,所述第二正面上具有至少一个第二正面电极210,所述第二背面上具有一第二背面电极220,至少一个所述第二正面电极210通过导电金属层与所述第一导电垫420电连接,可以理解为,第二芯片200的至少一个第二正面电极210与第一芯片100的第一背面电极120电连接。在本实施例中,所述第二芯片200具有两个第二正面电极210,第二正面电极A211和第二正面电极B212,第二正面电极A211通过导电金属层与所述第一导电垫420电连接,第二正面电极B212通过第三重布线部件引至所述叠层芯片封装结构的表面重新排布;较佳地,所述导电金属层包括但不限于NiAu或NiPdAu或TiNiAg。
所述第二重布线部件包括第二导电垫510、第二连接柱520和多个第二导电柱530,所述第二导电柱530的一端与所述第二背面电极220电连接,另一端与所述第二导电垫510电连接,所述第二连接柱520与所述第二导电垫510电连接,所述第二重布线部件将所述第二背面电极220引至所述叠层芯片封装结构的表面重新排布。
所述塑封体600包覆所述第一芯片100、第二芯片200、第一重布线部件及部分第二重布线部件,所述第一连接柱430的一表面暴露于所述塑封体600的表面,所述第二连接柱520的一表面暴露于所述塑封体600的表面,所述第二导电垫510暴露于所述塑封体600 的外侧,所述第一正面电极110通过导电结构引至所述塑封体600的表面。在一较佳地实施例中,所述导电结构为一导电金属层,所述导电金属层包括但不限于NiAu或NiPdAu或TiNiAg。
所述第三重布线部件包括第三导电垫710和第三连接柱720,所述第三导电垫710通过导电材料与所述第二正面电极B212电连接,所述第三连接柱720与所述第三导电垫710连接,所述塑封体600包覆所述第三重布线部件,所述第三连接柱720的一表面暴露于所述塑封体600的表面。
叠层芯片封装结构还包括框架基板,所述框架基板包括多个引脚,所述引脚分别与第一正面电极110、第一连接柱430、第二连接柱520和第三连接柱720电连接,形成叠层芯片封装结构与外界连接的引脚,所述塑封体600包覆所述框架基板,所述引脚至少部分露出所述塑封体600的下表面或侧面。在本实施例中,引脚包括第一正面电极引脚311、第一背面电极引脚312、第二正面电极引脚313、第二背面电极引脚314,其中,第一正面电极引脚311与第一正面电极110电连接且一一对应,第一背面电极引脚312与第一背面电极120电连接,第一背面电极引脚312还与第二正面电极A211电连接,第二正面电极引脚313与第二正面电极B212电连接,第二背面电极引脚314与第二背面电极220电连接。
图4A至图4I示出了本实施例的封装过程的工艺流程图:
如图4A所示,提供一框架基板,所述框架基板具有多个引脚;其中,引脚的数量可分别根据第一芯片100的第一正面电极110的数量和第二芯片200的第二正面电极210的数量进行调整。在本实施例中,引脚包括第一正面电极引脚311、第一背面电极引脚312、第二正面电极引脚313和第二背面电极引脚314。
如图4B所示,将第一芯片100倒装在框架基板上,第一芯片100的第一正面电极110上镀有导电金属层,第一正面电极110通过导电金属层与对应的第一正面电极引脚311电连接;较佳地,导电金属层为NiAu或NiPdAu或TiNiAg。
如图4C所示,进行第一次塑封,形成第一塑封体610,所述第一塑封体610包覆所述第一芯片100和框架基板,使得框架基板的引脚至少部分露出所述塑封体600的下表面或侧面。其中,塑封的方法为本领域的常规方法,例如可采用塑封模具进行塑封。在塑封之前,框架基板将贴上塑封膜,使得需要外露的引脚会被塑封膜保护不被塑封从而外露。引脚的侧面外露将是在最后进行电镀后切割分离的时候外露。
如图4D所示,在第一塑封体610的顶面开设第一过孔611,使得部分所述第一背面电极120、所述第一背面电极引脚312和所述第二正面电极引脚313外露。其中,采用 TPV(Thru-package-Vias)方式开设所述第一过孔611。
如图4E所示,在第一背面电极120上方的第一过孔611内形成第一导电柱410,在第一背面电极引脚312上方的第一过孔611内形成第一连接柱430,在第二正面电极引脚313上方的第一过孔611内形成第三连接柱720,第一导电柱410与第一背面电极120电连接,第一连接柱430与第一背面电极引脚312电连接,第三连接柱720与第二正面电极引脚313 电连接,并在第一塑封体610的顶面形成第一导电垫420以电连接所述第一导电柱410和第一连接柱430,实现了将第一背面电极120通过第一重布线部件引至叠层封装结构的表面;在第一塑封体610的顶面形成第三导电垫710以电连接所述第三导电柱720。较佳地,第一导电柱410、第一导电垫420、第一连接柱430、第三连接柱720和第三导电垫710均为金属铜。可同时形成上述第一导电柱410、第一导电垫420、第一连接柱430、第三连接柱720和第三导电垫710,也可先形成第一导电柱410、第一连接柱430和第三连接柱720,再形成第一导电垫420和第三导电垫710。
如图4F所示,在所述第一导电垫420和第三导电垫710上方倒装第二芯片200,使得第二芯片200的第二正面电极A211通过导电金属层与第一导电垫420电连接,第二正面电极B212通过导电金属层与第三导电垫710电连接,实现了将第二正面电极A211与第一背面电极120电连接并引至第一背面电极引脚312,将第二正面电极B212引至第二正面电极引脚313;较佳地,导电金属层为NiAu或NiPdAu或TiNiAg。
如图4G所示,进行第二次塑封,形成第二塑封体620,所述第二塑封体620包覆第一导电垫420、第三导电垫710和第二芯片200。其中,塑封的方法为本领域的常规方法,在此不再赘述。
如图4H所示,在所述第二塑封体620的顶面开设第二过孔621,使得部分第二背面电极220和第二背面电极引脚314外露。其中,采用TPV(Thru-package-Vias)方式开设所述第二过孔621。
如图4I所示,在第二背面电极220上方的第二过孔621内形成第二导电柱530,在第二背面电极引脚314上方的第二过孔621内形成第二连接柱520,第二导电柱530与第二背面电极220电连接,第二连接柱520与第二背面电极引脚314电连接;并在第二塑封体 620表面形成第二导电垫510,以电连接第二导电柱530和第二连接柱520,实现了将第二背面电极220引至第二背面电极引脚314;较佳地,第二导电垫510、第二连接柱520和第二导电柱530为金属铜。第二导电垫510暴露于第二塑封体620外侧,能够有效进行散热。之后在每个引脚的外露部分镀铜后并镀锡,切割分离器件,完成整个封装过程。
第三实施例
本实施例与第一实施例的区别在于,如图5所示,本实施例不需要设置第三重布线部件,第二芯片200只有一个第二正面电极210,该第二正面电极210通过导电材料与所述第一导电垫420电连接。
第四实施例
本实施例与第二实施例的区别在于,如图6所示,本实施例不需要设置第三重布线部件,第二芯片200只有一个第二正面电极210,该第二正面电极210通过导电材料与所述第一导电垫420电连接,相应的,框架基板的引脚不需要设置第二正面电极引脚313。
对于本领域技术人员而言,显然本实用新型不限于上述示范性实施例的细节,而且在不背离本实用新型的精神或基本特征的情况下,能够以其他的具体形式实现本实用新型。因此,无论从哪一点来看,均应将实施例看作是示范性的,而且是非限制性的,本实用新型的范围由所附权利要求而不是上述说明限定,因此旨在将落在权利要求的等同要件的含义和范围内的所有变化囊括在本实用新型内。不应将权利要求中的任何附图标记视为限制所涉及的权利要求。
此外,应当理解,虽然本说明书按照实施方式加以描述,但并非每个实施方式仅包含一个独立的技术方案,说明书的这种叙述方式仅仅是为清楚起见,本领域技术人员应当将说明书作为一个整体,各实施例中的技术方案也可以经适当组合,形成本领域技术人员可以理解的其他实施方式。

Claims (12)

1.一种叠层芯片封装结构,其特征在于,包括:
第一芯片,包括一第一背面电极和至少一个第一正面电极;
第一重布线部件,包括第一导电垫和第一连接柱,所述第一导电垫与所述第一背面电极电连接,所述第一连接柱与所述第一导电垫电连接,所述第一重布线部件将所述第一背面电极引至所述叠层芯片封装结构的表面重新排布;
第二芯片,包括一第二背面电极和至少一个第二正面电极,至少一个所述第二正面电极与所述第一导电垫电连接;
第二重布线部件,包括第二导电垫和第二连接柱,所述第二导电垫与所述第二背面电极电连接,所述第二连接柱与所述第二导电垫电连接,所述第二重布线部件将所述第二背面电极引至所述叠层芯片封装结构的表面重新排布;以及
塑封体,包覆所述第一芯片、第二芯片、第一重布线部件及部分第二重布线部件,所述第一连接柱的一表面暴露于所述塑封体的表面,所述第二连接柱的一表面暴露于所述塑封体的表面,所述第二导电垫暴露于所述塑封体的外侧,所述第一正面电极通过导电结构引至所述塑封体的表面。
2.根据权利要求1所述的叠层芯片封装结构,其特征在于,所述第一重布线部件还包括多个第一导电柱,所述第一导电柱的一端与所述第一背面电极电连接,另一端与所述第一导电垫电连接。
3.根据权利要求1所述的叠层芯片封装结构,其特征在于,所述第二重布线部件还包括多个第二导电柱,所述第二导电柱的一端与所述第二背面电极电连接,另一端与所述第二导电垫电连接。
4.根据权利要求1所述的叠层芯片封装结构,其特征在于,所述塑封体的表面还设置有与外界连接的多个引脚导电垫,所述引脚导电垫分别与所述第一正面电极、第一连接柱和第二连接柱电连接。
5.根据权利要求1所述的叠层芯片封装结构,其特征在于,叠层芯片封装结构还包括框架基板,所述框架基板包括多个引脚,所述引脚分别与第一正面电极、第一连接柱和第二连接柱电连接,所述塑封体包覆所述框架基板,所述引脚至少部分露出所述塑封体的下表面或侧面。
6.根据权利要求1所述的叠层芯片封装结构,其特征在于,所述第二正面电极上植有第一焊球,所述第二正面电极通过所述第一焊球与所述第一导电垫电连接。
7.根据权利要求1所述的叠层芯片封装结构,其特征在于,所述第二正面电极上设置有一导电金属层,所述第二正面电极通过所述导电金属层与所述第一导电垫电连接。
8.根据权利要求1所述的叠层芯片封装结构,其特征在于,所述第二芯片具有两个以上第二正面电极,部分所述第二正面电极与所述第一导电垫电连接,部分所述第二正面电极通过第三重布线部件引至所述叠层芯片封装结构的表面重新排布。
9.根据权利要求8所述的叠层芯片封装结构,其特征在于,所述第三重布线部件包括第三导电垫和第三连接柱,所述第三导电垫与所述第二正面电极电连接,所述第三连接柱与所述第三导电垫连接,所述塑封体包覆所述第三重布线部件,所述第三连接柱的一表面暴露于所述塑封体的表面。
10.根据权利要求1-9任一项所述的叠层芯片封装结构,其特征在于,所述导电结构为第二焊球。
11.根据权利要求1-9任一项所述的叠层芯片封装结构,其特征在于,所述导电结构为一导电金属层。
12.根据权利要求11所述的叠层芯片封装结构,其特征在于,所述导电金属层为NiAu或NiPdAu或TiNiAg。
CN202020435874.0U 2020-03-30 2020-03-30 一种叠层芯片封装结构 Active CN211828769U (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202020435874.0U CN211828769U (zh) 2020-03-30 2020-03-30 一种叠层芯片封装结构

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202020435874.0U CN211828769U (zh) 2020-03-30 2020-03-30 一种叠层芯片封装结构

Publications (1)

Publication Number Publication Date
CN211828769U true CN211828769U (zh) 2020-10-30

Family

ID=73148310

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202020435874.0U Active CN211828769U (zh) 2020-03-30 2020-03-30 一种叠层芯片封装结构

Country Status (1)

Country Link
CN (1) CN211828769U (zh)

Similar Documents

Publication Publication Date Title
US6441475B2 (en) Chip scale surface mount package for semiconductor device and process of fabricating the same
US6344683B1 (en) Stacked semiconductor package with flexible tape
US7132738B2 (en) Semiconductor device having multiple semiconductor chips stacked in layers and method for manufacturing the same, circuit substrate and electronic apparatus
US7863725B2 (en) Power device packages and methods of fabricating the same
US7880285B2 (en) Semiconductor device comprising a semiconductor chip stack and method for producing the same
US20020066950A1 (en) Flip chip in leaded molded package with two dies
KR20170086828A (ko) 메탈범프를 이용한 클립 본딩 반도체 칩 패키지
JP2004281538A (ja) 電子装置及びその製造方法、回路基板並びに電子機器
US7498195B2 (en) Multi-chip semiconductor connector assembly method
CN109003948A (zh) 一种双面三维堆叠封装结构及封装方法
US9337131B2 (en) Power semiconductor device and the preparation method
JP2004281540A (ja) 電子装置及びその製造方法、チップキャリア、回路基板並びに電子機器
US8253239B2 (en) Multi-chip semiconductor connector
CN211828769U (zh) 一种叠层芯片封装结构
US20080251937A1 (en) Stackable semiconductor device and manufacturing method thereof
CN211428145U (zh) 一种叠层芯片封装结构
CN114334857A (zh) 一种芯片封装结构和方法
CN112786567A (zh) 一种半导体功率模组及半导体功率模组的封装方法
TWI283472B (en) Chip package having a slot type metal film carrying a wire-bonding chip
TWI545703B (zh) 堆疊式雙晶片封裝結構及其製備方法
JP2004031649A (ja) 半導体装置およびその製造方法
JP2006032871A (ja) 半導体装置
CN112701103B (zh) 一种组合封装结构及组合封装工艺
TWI286456B (en) Multi-layer circuit board integrated with electronic elements and method for fabricating the same
CN115050656A (zh) 一种集成续流二极管的氮化镓功率器件以及封装方法

Legal Events

Date Code Title Description
GR01 Patent grant
GR01 Patent grant