CN1977351A - 等离子体离子注入系统的原位处理室制备方法 - Google Patents
等离子体离子注入系统的原位处理室制备方法 Download PDFInfo
- Publication number
- CN1977351A CN1977351A CNA2005800203293A CN200580020329A CN1977351A CN 1977351 A CN1977351 A CN 1977351A CN A2005800203293 A CNA2005800203293 A CN A2005800203293A CN 200580020329 A CN200580020329 A CN 200580020329A CN 1977351 A CN1977351 A CN 1977351A
- Authority
- CN
- China
- Prior art keywords
- process chamber
- plasma
- coating
- substrate
- plasma ion
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 title claims abstract description 290
- 230000008569 process Effects 0.000 title claims abstract description 228
- 238000005468 ion implantation Methods 0.000 title claims abstract description 25
- 238000002360 preparation method Methods 0.000 title description 15
- 238000011065 in-situ storage Methods 0.000 title description 6
- 238000000576 coating method Methods 0.000 claims abstract description 133
- 239000011248 coating agent Substances 0.000 claims abstract description 91
- 239000000758 substrate Substances 0.000 claims abstract description 69
- 238000004140 cleaning Methods 0.000 claims abstract description 44
- 239000002243 precursor Substances 0.000 claims abstract description 39
- 239000000203 mixture Substances 0.000 claims abstract description 29
- 238000000151 deposition Methods 0.000 claims abstract description 28
- 239000007789 gas Substances 0.000 claims description 96
- 239000002019 doping agent Substances 0.000 claims description 46
- 239000000463 material Substances 0.000 claims description 35
- 238000002347 injection Methods 0.000 claims description 33
- 239000007924 injection Substances 0.000 claims description 33
- 238000010926 purge Methods 0.000 claims description 29
- 230000008021 deposition Effects 0.000 claims description 22
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 10
- 229910052710 silicon Inorganic materials 0.000 claims description 10
- 239000012686 silicon precursor Substances 0.000 claims description 10
- 239000011247 coating layer Substances 0.000 claims description 8
- 239000011261 inert gas Substances 0.000 claims description 8
- 239000010703 silicon Substances 0.000 claims description 8
- 230000004913 activation Effects 0.000 claims description 7
- 238000007872 degassing Methods 0.000 claims description 7
- 229910052739 hydrogen Inorganic materials 0.000 claims description 7
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims description 6
- 229910052786 argon Inorganic materials 0.000 claims description 6
- 229910052796 boron Inorganic materials 0.000 claims description 6
- 229910052731 fluorine Inorganic materials 0.000 claims description 6
- 239000011737 fluorine Substances 0.000 claims description 6
- 229910052734 helium Inorganic materials 0.000 claims description 6
- 239000001257 hydrogen Substances 0.000 claims description 5
- 239000010410 layer Substances 0.000 claims description 5
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims description 4
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 4
- 229910052785 arsenic Inorganic materials 0.000 claims description 4
- 238000010438 heat treatment Methods 0.000 claims description 4
- 238000002161 passivation Methods 0.000 claims description 4
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 claims description 4
- 229940094989 trimethylsilane Drugs 0.000 claims description 4
- 229910003902 SiCl 4 Inorganic materials 0.000 claims description 3
- 230000005684 electric field Effects 0.000 claims description 3
- 229910052760 oxygen Inorganic materials 0.000 claims description 3
- 229910052698 phosphorus Inorganic materials 0.000 claims description 3
- 238000005406 washing Methods 0.000 claims description 3
- 229910002601 GaN Inorganic materials 0.000 claims description 2
- 229910001218 Gallium arsenide Inorganic materials 0.000 claims description 2
- 229910008310 Si—Ge Inorganic materials 0.000 claims description 2
- RBFQJDQYXXHULB-UHFFFAOYSA-N arsane Chemical compound [AsH3] RBFQJDQYXXHULB-UHFFFAOYSA-N 0.000 claims description 2
- 150000004678 hydrides Chemical class 0.000 claims description 2
- 229910052594 sapphire Inorganic materials 0.000 claims description 2
- 239000010980 sapphire Substances 0.000 claims description 2
- 239000002210 silicon-based material Substances 0.000 claims description 2
- 229910052787 antimony Inorganic materials 0.000 claims 1
- 239000007921 spray Substances 0.000 claims 1
- 150000002500 ions Chemical class 0.000 abstract description 50
- 239000010408 film Substances 0.000 description 16
- 239000004065 semiconductor Substances 0.000 description 9
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 8
- 239000000126 substance Substances 0.000 description 7
- 150000001768 cations Chemical class 0.000 description 6
- 230000002349 favourable effect Effects 0.000 description 4
- 239000001307 helium Substances 0.000 description 4
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 4
- 239000012535 impurity Substances 0.000 description 4
- 238000012423 maintenance Methods 0.000 description 4
- 238000012544 monitoring process Methods 0.000 description 4
- 238000012545 processing Methods 0.000 description 4
- 239000011149 active material Substances 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 229910052732 germanium Inorganic materials 0.000 description 3
- 238000003475 lamination Methods 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- 230000009471 action Effects 0.000 description 2
- 230000003213 activating effect Effects 0.000 description 2
- 125000004429 atom Chemical group 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 239000012459 cleaning agent Substances 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 239000003344 environmental pollutant Substances 0.000 description 2
- 230000001976 improved effect Effects 0.000 description 2
- 238000010884 ion-beam technique Methods 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 231100000719 pollutant Toxicity 0.000 description 2
- 238000011084 recovery Methods 0.000 description 2
- 230000008093 supporting effect Effects 0.000 description 2
- 238000000427 thin-film deposition Methods 0.000 description 2
- 238000011144 upstream manufacturing Methods 0.000 description 2
- 229910052724 xenon Inorganic materials 0.000 description 2
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- JMASRVWKEDWRBT-UHFFFAOYSA-N Gallium nitride Chemical compound [Ga]#N JMASRVWKEDWRBT-UHFFFAOYSA-N 0.000 description 1
- 235000003140 Panax quinquefolius Nutrition 0.000 description 1
- 240000005373 Panax quinquefolius Species 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- 238000010521 absorption reaction Methods 0.000 description 1
- 230000001133 acceleration Effects 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 125000002091 cationic group Chemical group 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 230000006835 compression Effects 0.000 description 1
- 238000007906 compression Methods 0.000 description 1
- 230000003750 conditioning effect Effects 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 239000003085 diluting agent Substances 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- -1 fluoro free radical Chemical class 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 150000004820 halides Chemical class 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 238000007654 immersion Methods 0.000 description 1
- 239000007943 implant Substances 0.000 description 1
- 230000000977 initiatory effect Effects 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 238000005457 optimization Methods 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 238000012958 reprocessing Methods 0.000 description 1
- 230000002000 scavenging effect Effects 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 150000004756 silanes Chemical class 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 238000010561 standard procedure Methods 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 239000003039 volatile agent Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/26—Bombardment with radiation
- H01L21/263—Bombardment with radiation with high-energy radiation
- H01L21/265—Bombardment with radiation with high-energy radiation producing ion implantation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32477—Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
- H01J37/32495—Means for protecting the vessel against plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/30—Electron-beam or ion-beam tubes for localised treatment of objects
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32412—Plasma immersion ion implantation
Landscapes
- Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Plasma & Fusion (AREA)
- High Energy & Nuclear Physics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Toxicology (AREA)
- Health & Medical Sciences (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma Technology (AREA)
- Chemical Vapour Deposition (AREA)
- Physical Vapour Deposition (AREA)
Abstract
一种衬底的等离子体离子注入方法,所述方法包括:提供包含处理室、在处理室内生产等离子体的源、在处理室内支持衬底的台板和加速离子从等离子体进入衬底的电压电源的等离子体离子注入系统;在处理室的内表面上沉积新涂层,所述涂层在组成上类似于由衬底的等离子体离子注入所产生的沉积膜;在沉积新涂层之前,通过使用一种或多种活性清洗前体除去旧膜来清洗处理室的内表面;根据等离子体离子注入方法进行衬底的等离子体离子注入和在一个或多个衬底的等离子体离子注入之后重复清洗处理室的内表面和沉积新涂层的步骤。
Description
技术领域
本发明涉及衬底的等离子体离子注入系统和方法,更具体地,涉及制备等离子体离子注入的处理室的方法。制备方法可以包括清洗过程、涂敷过程或两者。
背景技术
离子注入是将改变导电性的杂质引入半导体晶片的标准技术。在传统的束线离子注入系统中,将希望的杂质材料在离子源中电离,加速离子来形成规定能量的离子束,离子束指向晶片的表面。所述束中的高能离子透入半导体材料块并被嵌入半导体材料的晶格以形成所需导电性的区域。
半导体工业的已知趋势是趋向于更小、更高速的装置。具体而言,半导体装置中部件的横向尺寸和深度两者都降低了。掺杂剂材料的注入深度至少部分由注入半导体晶片中的离子的能量来确定。束线离子注入通常被设计用于在相对高的注入能量下有效工作,并且在浅结注入所需的低能量下不能有效地运行。
为了在半导体晶片上形成浅结,已经研究了等离子体掺杂系统。在等离子体掺杂系统中,将半导体晶片放置在导电性台板上,所述台板起到阴极的作用并位于处理室内。将包含所需掺杂材料的可电离工艺气体引入室内,在台板和阳极或室壁之间施加电压脉冲,导致在晶片附近形成具有等离子壳层的等离子体。施加的脉冲引起等离子体内的离子穿过等离子壳层并被注入晶片。注入深度与施加在晶片和阳极之间的电压相关。可以实现非常低的注入能量。例如在1994年10月11日授权给Sheng的美国专利No.5,354,381、2000年2月1日授权给Liebert et al.的美国专利No.6,020,592和2001年2月6日授权给Goeckner et al.的美国专利No.6,182,604中描述的等离子体掺杂系统。
在上述等离子体掺杂系统中,施加的电压脉冲产生了等离子体并且加速了从等离子体到晶片的阳离子。在其它类型的等离子体系统中,如等离子体浸没系统,将连续或脉冲的RF能量施加到处理室,由此产生了连续或脉冲的等离子体。每隔一段时间,将可以与RF脉冲同步的阴极电压脉冲施加到台板,导致等离子体中的阳离子朝向晶片加速。
已知衬底处理系统中的过程控制对处理室的条件非常敏感。为了良好的过程可重复性,应该将处理室保持恒定的条件。然而,在衬底处理期间,因为与等离子体的相互反应,处理室条件可能漂移。可以通过蚀刻或溅射从表面除去材料,或通过在不同的操作条件下沉积来积聚材料。因此,为了得到可重复的过程,应该控制处理室杂件。与控制室杂件相关的待解决的问题包括:为了晶片-到-晶片可重复性,在各注入之间将室恢复到固定条件,在任何保持和/或室清洗之后恢复室条件和限制注入的晶片被所不希望的元素污染,例如金属和/或来自当使用不同掺杂剂时预处理的掺杂剂。这些元素来源于处理室硬件部件,并且可以在注入期间输送到晶片。
发明内容
根据本发明的第一方面,提供了衬底的等离子体离子注入的方法和设备。所述方法包括:提供包含处理室、在处理室中产生等离子体的源、在处理室中支持衬底的台板和加速离子从等离子体进入衬底的电压电源的等离子体离子注入系统;在处理室的内表面上沉积涂层,所述涂层与在处理室内进行的等离子体离子注入过程相容;根据等离子体离子注入方法进行衬底的等离子体离子注入。所述涂层可以包含衬底材料例如硅。
根据本发明的第二方面,提供了衬底的等离子体离子注入的方法和设备。所述方法包括:提供包含处理室、在处理室中产生等离子体的源、在处理室中支持衬底的台板和加速离子从等离子体进入衬底的电压电源的等离子体离子注入系统;在处理室的内表面上沉积涂层,所述涂层与在处理室内进行的等离子体离子注入过程相容,其中沉积涂层包括沉积包含掺杂剂的涂层;根据等离子体离子注入方法进行衬底的等离子体离子注入。所述涂层的组成可以类似于等离子体离子注入期间衬底表面的组成。
根据本发明的第三方面,提供衬底的等离子体离子注入的方法和设备。所述方法包括:提供包含处理室、在处理室中产生等离子体的源、在处理室中支持衬底的台板和加速离子从等离子体进入衬底的电压电源的等离子体离子注入系统;在处理室的内表面上沉积新涂层,所述涂层在组成上类似于由衬底的等离子体离子注入产生的沉积膜的组成;在沉积新涂层之前,通过使用一种或多种激活的清洗前体除去旧膜来清洗处理室的内表面;根据等离子体离子注入方法进行衬底的等离子体离子注入和在一个或多个衬底的等离子体离子注入之后重复清洗处理室的内表面和沉积新涂层的步骤。
根据本发明的第四方面,提供了衬底的等离子体离子注入的方法和设备。所述方法包括:提供包含处理室、在处理室中产生等离子体的源、在处理室中支持衬底的台板和加速离子从等离子体进入衬底的电压电源的等离子体离子注入系统;用清洗气体清洗处理室的内表面,所述气体与在处理室内进行的等离子体离子注入方法相容;根据等离子体离子注入方法进行衬底的等离子体离子注入。
附图说明
为了更好的理解本发明,参考附图,其通过引用并入本文,其中:
图1是脉冲DC等离子体离子注入系统的简化示意性结构图;
图2是根据本发明的实施方案的处理室制备方法的概要流程图;
图3是图2中显示的清洗过程的实施方案的流程图;
图4是图2中显示的涂敷过程的实施方案的流程图,和
图5是基于RF等离子体离子注入处理室的简化示意图,说明了根据本发明的实施方案将清洗气体和涂层前体气体引入处理室的技术。
具体实施方式
图1中示意性地显示了适合本发明的注入的等离子体离子注入系统的实例。处理室限定了封闭的体积12。定位于室10内的台板14提供了支持衬底的表面,例如半导体晶片20。例如可以将晶片20夹在台板14的平面外周,或可以静电固定。在一个实施方案中,台板具有支持晶片20的导电表面。在另一个实施方案中,台板包含连接晶片20的导电性引线(未显示)。另外,台板14可以装备加热/冷却系统来控制晶片/衬底温度。
阳极24定位于室10内,相对于台板14隔开。阳极24可以在箭头26指示方向上垂直于台板24移动。阳极通常与室10的导电壁连接,两者都可以接地。在另一个实施方案中,台板14接地,阳极24受负电压脉冲。在进一步的实施方案中,阳极24和台板14都可以相对于地施加偏压。
晶片20(通过台板14)和阳极24与高电压脉冲源30连接,使晶片20起到阴极的作用。典型地,脉冲源30提供脉冲,振幅为约20-20,000伏特,持续时间为约1-200微秒和脉冲重复率为约100Hz-20kHz。应该理解,给出的这些脉冲参数值只是示例性的,在本发明的范围内可以利用其它值。
室10的封闭体积12通过可控阀门32与真空泵34连接。工艺气体源36通过质量流量控制器38与室10连接。位于室10内的压力传感器48将室压力的指示信号提供给控制器46。控制器46比较读出的室压力和期望的压力输入,并将控制信号提供给阀门32或质量流量控制器38。控制信号控制阀门32或质量流量控制器38,以使室压力和期望的压力之间的差异最小化。真空泵34、阀门32、质量流量控制器38、压力传感器48和控制器46组成闭合环路压力控制系统。通常将压力控制为约1毫托-约500毫托,但不限于此范围。气体源36供应包含所需掺杂剂的可电离气体,用于注入工件。可电离气体的实例包含BF3、N2、Ar、PH3、AsH3、B2H6、PF3、AsF5和Xe。质量流量控制器38调节气体供给室10的流量。图1中显示的结构以期望的流速和恒定的压力提供了连续流动的工艺气体。优选地调节压力和气体流速以提供可重复的结果。作为选择,在另一个实施方案中,可以使用由控制器46控制的阀门调节气流,同时将阀门32保持在固定的位置。这种设置称为上游压力控制。可以利用其它调节气体压力的结构。
等离子体掺杂系统可以包含与空心阴极脉冲源56连接的空心阴极54。在一个实施方案中,空心阴极54包含包围阳极24和台板14之间空间的导电性空心圆柱体。空心阴极可用于需要很低离子能量的应用中。具体而言,空心阴极脉冲源56提供足以在室12内形成等离子体的脉冲电压,脉冲源30建立了期望的注入电压。在前面提到的美国专利No.6,182,604中提供了有关空心阴极用途的其它细节,其通过引用并入本文。
可以邻近台板14安置一个或多个法拉第杯,用来测量注入晶片20的离子剂量。在图1的实施方案中,法拉第杯50、52等相间隔围绕在晶片20的外围。每一个法拉第杯包含面对等离子体40的入口60的导电性外壳。优选地,将各个法拉第杯安置在尽可能接近晶片20并且截取从等离子体40朝台板14加速的阳离子的样品。在另一个实施方案中,将环形法拉第杯围绕晶片20和台板14安置。
法拉第杯与剂量处理器70或其它剂量监控电路电连接。通过入口60进入各个法拉第杯的阳离子在与法拉第杯连接的电路中产生表示离子流的电流。剂量处理器70可以处理电流以确定离子剂量。
等离子体离子注入系统可以包含包围台板14的保护环66。保护环66可以偏置以改善晶片20边缘附近的注入离子分布的均匀性。可以将法拉第杯50、52安置在晶片20和台板14的外围附近的保护环66内。
工作中,将晶片20安置在台板14上。压力控制系统、质量流量控制器38和气体源36在室10内产生期望的压力和气体流速。例如,室10可以使用BF3气体在10毫托的压力下运行。脉冲源30将一系列高电压脉冲施加到晶片20,在晶片20和阳极24之间的等离子体放电区域44中引起等离子体40的形成。如本领域中已知,等离子体40含有来自气体源36的可电离气体的阳离子。等离子体40包含在晶片20附近的等离子壳层42,通常是在晶片20的表面。高电压脉冲期间存在于阳极24和台板14之间的电场加速了阳离子从等离子体40穿过等离子壳层42朝向台板14。将加速的离子注入晶片20以形成杂质材料区域。选择脉冲电压将阳离子注入晶片20至期望的深度。选择脉冲的数量和脉冲持续时间以在晶片20中提供杂质材料的期望剂量。每个脉冲的电流是脉冲电压、气压和种类以及电极的任何可变位置的函数。例如,对于不同电压可以调节阴极-到-阳极间距。
图2显示了根据本发明实施方案的处理室制备方法的概要流程图。所述方法包括在清洗过程100中原位清洗处理室10的内表面和在涂敷过程110中原位涂敷处理室10的内表面。处理室制备方法之后是在等离子体离子注入过程120中n个衬底的等离子体注入。然后重复清洗和涂敷过程。以下结合图3详细描述清洗过程100,并且结合图4详细描述涂敷过程110。
处理室制备方法包括连续进行的两个主要过程来制备用于等离子体离子注入过程的室,第一个过程是原位等离子体清洗过程,第二个过程是原位涂敷过程。所述过程包括清洗处理室的内表面以除去先前过程的旧膜和材料并沉积新涂层,所述涂层的组成类似于等离子体离子注入期间沉积的膜的组成。所述过程的适当组合和排序使在一个等离子体离子注入系统中具有不同掺杂剂的衬底的无污染等离子体离子注入成为可能。所述清洗过程从处理室中除去了所不期望的材料和膜,而所述涂敷过程提供了衬底的可重复的处理。所述室制备方法提供了改善的过程灵活性,这与在相同等离子体离子注入系统中使用不同掺杂剂有关。原位室制备方法充分减少了在一个处理室内衬底的可重复处理所需的维护和室制备的停工期。此外,室制备方法可以用于周期性地清洗处理室、除去衬底处理期间室部件上出现的多余积累。由于过程可重复性,所述室可以在以最佳间隔清洗和涂敷以最大化机器的生产能力和利用时间。
原位清洗过程通过使用清洗气体或气体混合物实现,单独或当热激活或通过等离子体激活时,所述气体或气体混合物与沉积在处理室内的掺杂剂反应形成挥发性化合物,其可以通过真空泵从室除去。所述反应气体混合物可包括NF3、NH3、O2、O3、N2O、Ar、He、H2、CF4、CHF3等,单独或组合使用。氟基化学品可以更适合使用氟化掺杂剂的室,氟基化学品中活性物质是氟自由基或离子或分子氟,而氢基清洗化学品可以更适于不期望剩余氟的情况。
在典型的实践中,通过清洗过程除去的膜主要包括掺杂剂材料(例如B、P或As等)与一些衬底材料(例如Si、Ge或Ga和As等),其在衬底的等离子体离子注入期间沉积在处理室表面上。如果将过程换成另一种掺杂剂或衬底,这种沉积物可成为污染源。待除去的膜还可以包括来源于晶片上使用的光刻胶的碳基沉积物。清洗化学品是通过待除去的材料的组成确定的,使得活性清洗剂与所不期望材料的反应时形成挥发性物质。例如,在使用BF3气体的硼掺杂过程后可以使用包含NH3、O2和Ar的混合物清洗处理室。选择清洗气体混合物的组成来最佳化清洗时间和清洗均匀性。
可以通过分开的气体口或一个共用的气体口将清洗气体引入处理室,可以通过在台板上连接RF电源和/DC脉冲偏压产生活性清洗物质来激活气体混合物和产生等离子体。活性物质的浓度由连接的RF电源或DC脉冲偏压以及室内的工作压力来确定。可以使用含有包含电容压力计的反馈控制电路的可变电导闸门或节流阀来控制压力,利用质量流量控制器固定气体流量。压力可以为约1毫托-10托,典型地为约100毫托-2托。作为选择,可以使用上游压力控制器控制压力,所述控制器具有一个含有可以控制其它气体比例流量的流量计的气体管线。RF功率可以为约100瓦特-5千瓦,典型地为约2千瓦。还可以通过在台板或室壁上施加脉冲DC偏压来引发和维持等离子体。在另一种方法中,可以同时使用RF和DC偏压来引发和维持等离子体。可以通过向待清洗表面提供热能或通过提高穿过待清洗表面和等离子体之间电场的碰撞物质的能量来增强清洗作用。这可以通过在表面上的较高脉冲DC偏压和/或经过电容耦合的RF天线上较高的电压来实现。
在通过清洗剂的作用从室除去沉积物之后,从处理室泵出气体。可以通过输入惰性气体例如氩气或氦气、或钝化气体例如氢气来使处理室脱气,以便从处理室除去残留的痕量不需要的元素。脱气步骤也可以利用等离子体来增强残留清洗气体从表面的清除和制备用于进一步处理的室。
图3显示了根据本发明实施方案的清洗过程100的流程图。在步骤200中,清洗气体或清洗气体的混合物被引入处理室。对清洗气体的选择基于以前在处理室内进行的过程和已经沉积在处理室表面上的所有涂层。在步骤202中,处理室内的压力被控制在所期望的水平,典型地为约1毫托-10托。还控制气体流动。在步骤204中,在处理室内激活清洗气体或清洗气体混合物。可以通过利用RF能、DC脉冲或两者在处理室内引发和维持等离子体来产生激活。激活也可以通过单独加热或通过与等离子体的激活组合来实现激活。在步骤206中,可以任选地加热处理室表面来增强清洗过程。可以利用或不利用等离子体来进行加热。在步骤208中,实施处理室所期望的清洗。清洗过程可以在选定的时间持续实施或可以使用终点检测技术来终止。在步骤210中,从处理室泵出清洗气体或清洗气体混合物和清洗过程的挥发性产物。在步骤212中,可以使用惰性气体例如氩气或氦气或钝化气体例如氢气使处理室脱气。可以利用热和/或化学作用钝化。可以利用等离子体增强脱气步骤。
涂敷过程包括涂层在处理室内表面上的沉积,其作为工艺步骤和处理室制备的组成步骤。涂层改善了晶片-对-晶片的可重复性并减少随后的等离子体离子注入期间可出现的金属和其它形式的污染。另外,维护或原位等离子体清洗后涂层加速了处理室的恢复。原位涂层可以包含待注入的衬底材料例如硅,或掺杂剂和衬底材料的混合物,其中掺杂剂对应衬底中待注入的掺杂剂。涂层的一个具体实例是含硼硅,其中使用硼前体气体和硅前体气体的混合物来沉积涂层。其它涂层可以包含堆叠的膜,例如衬底材料的第一膜和掺杂剂材料的第二膜。膜叠层可以是有利的,在下面的层可以用来确定清洗过程的终止时间和/或作为清洗过程的终止层。
通过利用良性材料例如衬底材料(硅、锗、砷化镓、氮化镓、蓝宝石等)原位涂敷,室涂敷过程限制了系统停工期并且限制了晶片被原位涂层污染的风险。涂层改善了过程稳定性,因为等离子体在每次过程运行期间被暴露于相同的室条件。此外,通过用良性材料覆盖潜在的污染源,涂层基本上减少了加工晶片上的污染,因此保护了硬件部件免于暴露于等离子体。涂层还防止了处理室中除气的材料或吸附的元素在等离子体离子注入期间被释放到等离子体中。涂敷过程减少了维护或任何清洗方法之后所需的调理时间。
在将硅涂层沉积在处理室内表面的实施方案中,含硅前体被引入室。使用等离子体来分解含硅前体,以便在处理室的暴露表面上沉积含硅涂层。含硅前体可以是气体例如SiH4、Si2H6、SiF4或SiCl4,或可以是有机硅前体例如三甲基硅烷(TMS)或三乙基硅烷(TES),其可以与惰性气体例如氦、氖、氩或氙一起引入。可以通过加入惰性或反应气体来控制含硅涂层的组成而进一步控制硅材料沉积。反应气体可以包含氢气、氧气、氮气、BF3、B2H6、PH3、AsF5、PF5、PF3或胂来形成含硅材料的掺杂或未掺杂的涂层。这种方法可以使用包含适当衬底材料的不同前体气体而用于其它衬底。例如,GeH4或GeF4可以用来处理Ge或Si-Ge衬底。
将包含所期望的涂层物质的气体或气体混合物引入处理室,并且引发等离子体。等离子体工作足够的时间以产生期望的涂层厚度。涂层的厚度可以为约1-10微米,但不限制在此厚度范围内。可以使用位于处理室内的标准薄膜沉积监控器监控涂层厚度。可以将涂层厚度监控器留在适当的位置来监控涂层随后的腐蚀和处理室重新涂敷的需求。这在确定清洗过程后所需涂层厚度或后续工艺运行之间所需涂敷过程上是有利的。
当通过各过程之间的转换将处理室用于利用不同掺杂剂的衬底的等离子体离子注入时,处理室可以需要清洗来除去不需要的痕量掺杂剂,从而避免交叉污染的风险。室清洗是引起机器停工期的维护过程。通过在处理室内表面上沉积包含待注入的新掺杂剂的涂层,可以不需要显著的停工期来准备室。涂层可暴露于工艺条件并且可以作为掺杂剂膜而沉积或可以通过化学蚀刻和/或物理溅射机制作为其它原子源。如果原子在处理期间从涂层除去,这些原子应该从工艺混合物除去或它们应该对过程是良性的。因此,涂层优选地具有与该过程中衬底表面的组成接近的组成。因此,涂层可以包含衬底材料和掺杂剂。涂层可以是单层膜或在不同膜中具有不同组成的堆叠的膜结构。
在典型的实践中,涂层可以包含作为衬底材料的硅和作为掺杂剂材料的硼、磷或砷。通过在产生涂层沉积的条件下原位分解前体来提供两种材料。得到的涂层或膜叠层的组成可以通过处理两种前体的相对比来控制。典型的硅前体包含硅烷(SinH2n+2,其中n=1、2、3、…)或有机硅烷例如TMS、TES等或卤代硅烷例如SiF4、SiCl4等,而掺杂剂前体可以是氢化物(例如B2H6、PH3、AsH3等)或卤化物(BF3、BCl3、PF3、PF5、AsF5等)。涂敷过程还可以利用稀释气例如惰性气体(氦、氩或氙)或反应气体(F2、Cl2、H2等)来控制涂层的组成。
选择涂层组成时,将涂层前体以预定的比例引入处理室,将室压力控制在预先设定的值并且以期望的功率引发等离子体来分解涂层前体。作为选择,可以将处理室或需要涂层的处理室的具体部分加热以使膜沉积。不需要沉积表面的温度控制,但该温度控制可以是有利的。可以将涂层前体通过一个口或分开的口引入室,可以通过喷嘴将所述流导入具体目标区域以有利于处理室内期望的涂层轮廓。继续涂敷过程直到达到期望的涂层厚度。可以使用位于处理室内的标准薄膜沉积监控器监控涂层厚度。可以使用不同的涂层前体组成通过重复所述过程来形成膜叠层。暴露于工艺混合物的最后膜典型地主要包含用于该过程的掺杂剂。对于涂敷过程,使用台板和/或室部件上的DC脉冲偏压对涂层前体的离子轰击能量提供额外控制可以是有利的,其反过来可以控制涂层密度和粘附性质。
图4中显示了根据本发明实施方案的涂敷过程110的流程图。在步骤300中,将涂层前体气体或气体混合物引入处理室。如上所述,可以单独或与惰性气体、反应气体或两者一起结合引入。涂层前体气体的选择基于在处理室中运行的等离子体离子注入过程。涂层前体气体可以包含衬底材料、掺杂剂材料或两者。在步骤302中,将处理室内的压力和气体流量控制在期望水平。在步骤304中,在处理室10内引发等离子体。在步骤306中,可以任选地将处理室的内表面或选定的内表面加热来增强涂敷过程。可以使用加热元件和/或等离子体进行加热。在步骤308中,进行期望的涂层沉积。在步骤310中,监控涂层厚度。当涂层达到期望的厚度时,可以终止涂敷过程或可以将具有不同组成的涂层沉积在第一涂层上。在步骤312中,如果没有完成期望的涂层叠层,所述过程返回步骤300。可以重复这个过程来得到期望的薄叠层,其可以包含具有不同组成的多个膜层。
图5显示了等离子体离子注入处理室的简化示意图。图1和5中的类似元件具有相同的附图标记。在图5的实施方案中,通过与RF源(未显示)连接的RF线圈314来引发和维持等离子体。如图所示,可以将工艺气体通过室顶部的口引入处理室10。清洗过程期间,可以将清洗气体例如NF3、O2和稀释剂通过室顶部的口引入。空心环310围绕着台板140并且可用于将涂层前体气体引入处理室10。可以为空心环310提供一定模式的孔,使得能够在优选的方向上引导涂层前体气体。在图5的实施方案中,空心环310具有引导涂层前体气体通向处理室10的上部且远离台板14的孔。这种布置限制了台板14上的沉积。可以利用模拟晶片320限制台板14的涂敷。应该理解,空心环310只是通过实例的方式来表示且不限制本发明的范围。可以利用将涂层前体气体引入处理室的任何布置。类似的布置可以用于DC脉冲等离子注入系统,其中等离子体通过台板和/或室组件上的DC偏压来引发和维持。
应该理解,可以在本发明的精神和范围内对说明书中描述的附图中显示的实施方案进行各种改变和改进。因此,上述说明和附图显示的所有内容只是用于示例性说明,没有限制意义。本发明只受所附权利要求及其等价物的定义限制。
Claims (52)
1.一种用于衬底的等离子体离子注入的方法,包括:
提供包括处理室、在处理室内产生等离子体的源、在处理室内支持衬底的台板和加速离子从等离子体进入衬底的电压电源的等离子体离子注入系统;
在处理室的内表面上沉积涂层,所述涂层与在处理室内进行的等离子体离子注入过程相容;和
根据等离子体离子注入方法进行衬底的等离子体离子注入。
2.权利要求1的方法,其中沉积涂层包括沉积包含衬底材料的涂层。
3.权利要求1的方法,其中沉积涂层包括沉积含硅材料。
4.权利要求1的方法,其中沉积涂层包括沉积包含选自下列材料的涂层:Si、Si-Ge、Ge、GaAs、GaN和蓝宝石。
5.权利要求1的方法,其中沉积涂层包括将涂层前体引入处理室。
6.权利要求5的方法,其中沉积涂层还包括用等离子体分解涂层前体。
7.权利要求1的方法,其中沉积涂层还包括在沉积期间监控涂层厚度。
8.权利要求1的方法,其中沉积涂层包括将含硅前体引入处理室,所述含硅前体选自SiH4、Si2H6、SiF4、SiCl4、三甲基硅烷和三乙基硅烷。
9.权利要求8的方法,其中沉积涂层还包括将惰性气体引入含有含硅前体的处理室。
10.权利要求8的方法,其中沉积涂层还包括将反应气体引入含有含硅前体的处理室,所述反应气体选自H2、O2、N2、BF3、B2H6、PH3、AsF5、PF5、PF3或胂。
11.权利要求8的方法,其中沉积涂层还包括将反应气体引入含有含硅前体的处理室,选择所述反应气体用于控制含硅涂层的组成。
12.权利要求1的方法,其中沉积涂层还包括以预定的比例将涂层前体和反应气体引入处理室。
13.权利要求1的方法,其中沉积涂层还包括在沉积期间控制处理室内的压力和气体流量之一或控制两者。
14.权利要求1的方法,其中沉积涂层包括利用DC脉冲加速涂层材料的离子到处理室的至少一个内表面。
15.权利要求1的方法,其中沉积涂层包括通过设置在台板周围的空心环中的孔来注射涂层前体。
16.权利要求1的方法,还包括在沉积涂层之前清洗处理室。
17.一种用于衬底的等离子体离子注入的方法,包括:
提供包括处理室、在处理室内产生等离子体的源、在处理室内支持衬底的台板和加速离子从等离子体进入衬底的电压电源的等离子体离子注入系统;
在处理室的内表面上沉积含掺杂剂的涂层,所述含掺杂剂的涂层与在处理室内进行的等离子体离子注入过程相容;和
根据等离子体离子注入方法进行衬底的等离子体离子注入。
18.权利要求17的方法,其中涂层具有类似于等离子体离子注入期间衬底表面组成的组成。
19.权利要求17的方法,其中沉积涂层包括沉积包含掺杂剂的涂层,所述掺杂剂选自B、P、As和Sb。
20.权利要求17的方法,其中沉积涂层包括将含硼前体气体和含硅前体气体引入处理室。
21.权利要求17的方法,其中涂层包含两层或更多层。
22.权利要求17的方法,其中沉积涂层包括沉积包含衬底材料的层,随后沉积含掺杂剂的层。
23.权利要求17的方法,其中沉积含掺杂剂的涂层包括将氢化物掺杂剂前体引入处理室。
24.权利要求17的方法,其中沉积含掺杂剂的涂层包括将卤化物掺杂剂前体引入处理室。
25.权利要求17的方法,其中沉积含掺杂剂的涂层包括将掺杂剂前体和惰性气体引入处理室。
26.权利要求17的方法,其中沉积含掺杂剂的涂层包括将掺杂剂前体和反应气体引入处理室。
27.权利要求26的方法,其中引入掺杂剂前体和反应气体包括使掺杂剂前体和反应气体通过单个喷嘴流入处理室。
28.权利要求26的方法,其中引入掺杂剂前体和反应气体包括使掺杂剂前体和反应气体通过不同喷嘴流入处理室。
29.权利要求26的方法,其中引入掺杂剂前体和反应气体包括将掺杂剂前体和反应气体流导向处理室中的目标区域。
30.一种用于衬底的等离子体离子注入的方法,包括:
提供包括处理室、在处理室内产生等离子体的源、在处理室内支持衬底的台板和加速离子从等离子体进入衬底的电压电源的等离子体离子注入系统;
用清洗气体清洗处理室的内表面,所述清洗气体与处理室内进行的等离子体离子注入过程相容;和
根据等离子体离子注入方法进行衬底的等离子体离子注入。
31.权利要求30的方法,还包括用等离子体激活清洗气体。
32.权利要求30的方法,还包括热激活清洗气体。
33.权利要求30的方法,其中清洗气体选自NF3、NH3、O2、O3、N2O、Ar、He、H2、CF4、CHF3和它们的组合。
34.权利要求30的方法,其中选择与等离子体离子注入过程相容的清洗气体。
35.权利要求30的方法,其中清洗处理室的内表面在将新涂层沉积在处理室的内表面之前进行。
36.权利要求30的方法,其中在使用氟化掺杂剂的等离子体离子注入之后使用氟基清洗气体。
37.权利要求30的方法,其中氢基清洗气体用于不期望残留氟的应用中。
38.权利要求30的方法,其中在使用BF3的硼的等离子体离子注入之后使用包括NF3、O2和Ar的混合物的清洗气体。
39.权利要求30的方法,其中清洗处理室的内表面包括将处理室的压力控制在约1毫托-10托。
40.权利要求30的方法,其中清洗处理室的内表面包括将处理室的压力控制在约100毫托-2托。
41.权利要求30的方法,其中清洗处理室的内表面包括用等离子体激活清洗气体,所述等离子体由约100瓦-5千瓦的RF能量产生。
42.权利要求30的方法,其中清洗处理室的内表面包括用等离子体激活清洗气体,所述等离子体由DC脉冲产生。
43.权利要求30的方法,其中清洗处理室的内表面包括加热处理室的一个或多个表面。
44.权利要求30的方法,其中清洗处理室的内表面包括用等离子体激活清洗气体,所述等离子体由RF能量和DC脉冲的组合产生。
45.权利要求30的方法,其中清洗处理室的内表面包括在处理室内提供电场,用于加速清洗气体的离子。
46.权利要求30的方法,其中清洗处理室的内表面还包括用惰性气体将处理室除气。
47.权利要求30的方法,其中清洗处理室的内表面还包括用钝化气体将处理室除气。
48.一种用于衬底的等离子体离子注入方法,包括:
提供包括处理室、在处理室内产生等离子体的源、在处理室内支持衬底的台板和加速离子从等离子体进入衬底的电压电源的等离子体离子注入系统;
在处理室的内表面上沉积新涂层,所述新涂层的组成类似于由衬底的等离子体离子注入产生的沉积膜的组成;
沉积新涂层之前,通过使用一种或多种激活的清洗前体除去旧膜来清洗处理室的内表面;
根据等离子体离子注入方法进行衬底的等离子体离子注入,和
在一个或多个衬底的等离子体离子注入之后重复清洗处理室的内表面和沉积新涂层的步骤。
49.一种等离子体离子注入系统,包含:
处理室;
在处理室内产生等离子体的源;
在处理室内支持衬底的台板;
产生用来加速离子从等离子体进入衬底的注入脉冲的脉冲源;和
在处理室的内表面上沉积涂层的装置,所述涂层与处理室内进行的等离子体离子注入过程相容。
50.一种等离子体离子注入系统,包含:
处理室;
在处理室内产生等离子体的源;
在处理室内支持衬底的台板;
产生用来加速离子从等离子体进入衬底的注入脉冲的脉冲源;和
在处理室的内表面上沉积含掺杂剂的涂层的装置,所述含掺杂剂的涂层与处理室内进行的等离子体离子注入过程相容。
51.一种等离子体离子注入系统,包含:
处理室;
在处理室内产生等离子体的源;
在处理室内支持衬底的台板;
产生用来加速离子从等离子体进入衬底的注入脉冲的脉冲源;和
用清洗气体清洗处理室的内表面的装置,所述清洗气体与处理室内进行的等离子体离子注入过程相容。
52.一种等离子体离子注入系统,包含:
处理室;
在处理室内产生等离子体的源;
在处理室内支持衬底的台板;
产生用来加速离子从等离子体进入衬底的注入脉冲的脉冲源;
在处理室的内表面沉积新涂层的装置,所述新涂层的组成类似于由衬底的等离子体离子注入产生的沉积膜的组成;和
清洗处理室的内表面的装置,所述装置在沉积新涂层之前通过使用一种或多种激活的清洗前体除去旧膜。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/850,222 | 2004-05-20 | ||
US10/850,222 US20050260354A1 (en) | 2004-05-20 | 2004-05-20 | In-situ process chamber preparation methods for plasma ion implantation systems |
Publications (1)
Publication Number | Publication Date |
---|---|
CN1977351A true CN1977351A (zh) | 2007-06-06 |
Family
ID=34970469
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNA2005800203293A Pending CN1977351A (zh) | 2004-05-20 | 2005-05-19 | 等离子体离子注入系统的原位处理室制备方法 |
Country Status (6)
Country | Link |
---|---|
US (1) | US20050260354A1 (zh) |
JP (1) | JP2007538413A (zh) |
KR (1) | KR20070026608A (zh) |
CN (1) | CN1977351A (zh) |
TW (1) | TW200602510A (zh) |
WO (1) | WO2005114692A2 (zh) |
Cited By (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8080813B2 (en) | 2007-07-10 | 2011-12-20 | Komico Ltd. | Ion implanter, internal structure of ion implanter and method of forming a coating layer in the ion implanter |
CN102576655A (zh) * | 2009-08-11 | 2012-07-11 | 瓦里安半导体设备公司 | 脉冲沉积与再结晶以及利用结晶化/非晶物质的堆叠式太阳能电池设计 |
CN103774121A (zh) * | 2012-10-19 | 2014-05-07 | 陕西拓日新能源科技有限公司 | 一种用于非晶硅沉积的控制系统 |
CN103928280A (zh) * | 2013-01-16 | 2014-07-16 | 日新离子机器株式会社 | 离子注入装置和离子注入装置的运转方法 |
CN104241067A (zh) * | 2013-06-10 | 2014-12-24 | Fei公司 | 电子束感应蚀刻 |
CN104465292A (zh) * | 2014-11-28 | 2015-03-25 | 上海华力微电子有限公司 | 一种离子注入机的预处理方法 |
CN105900213A (zh) * | 2013-12-30 | 2016-08-24 | Gtat公司 | 用于cad反应器的改善的辐射屏障 |
TWI562226B (en) * | 2010-06-11 | 2016-12-11 | Varian Semiconductor Equipment | Techniques for plasma processing a substrate |
CN107039227A (zh) * | 2015-11-13 | 2017-08-11 | 住友重机械离子技术有限公司 | 离子生成装置及离子生成装置的控制方法 |
CN110085499A (zh) * | 2014-09-01 | 2019-08-02 | 恩特格里斯公司 | 利用增强源技术进行磷或砷离子植入 |
CN110828272A (zh) * | 2018-08-09 | 2020-02-21 | 北京北方华创微电子装备有限公司 | 腔室内衬、下电极装置和半导体处理设备 |
CN112086381A (zh) * | 2015-10-02 | 2020-12-15 | 朗姆研究公司 | 用于原子层沉积的动态前体投配 |
CN112154534A (zh) * | 2018-06-01 | 2020-12-29 | 应用材料公司 | 控制金属污染的腔室的原位cvd和ald涂布 |
Families Citing this family (60)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7819981B2 (en) * | 2004-10-26 | 2010-10-26 | Advanced Technology Materials, Inc. | Methods for cleaning ion implanter components |
US20060205192A1 (en) * | 2005-03-09 | 2006-09-14 | Varian Semiconductor Equipment Associates, Inc. | Shallow-junction fabrication in semiconductor devices via plasma implantation and deposition |
EP1866074A4 (en) * | 2005-03-16 | 2017-01-04 | Entegris Inc. | System for delivery of reagents from solid sources thereof |
US7528386B2 (en) * | 2005-04-21 | 2009-05-05 | Board Of Trustees Of University Of Illinois | Submicron particle removal |
US20100224264A1 (en) | 2005-06-22 | 2010-09-09 | Advanced Technology Materials, Inc. | Apparatus and process for integrated gas blending |
CN103170447B (zh) | 2005-08-30 | 2015-02-18 | 先进科技材料公司 | 使用替代的氟化含硼前驱体的硼离子注入和用于注入的大氢化硼的形成 |
US7595271B2 (en) * | 2005-12-01 | 2009-09-29 | Asm America, Inc. | Polymer coating for vapor deposition tool |
SG171606A1 (en) * | 2006-04-26 | 2011-06-29 | Advanced Tech Materials | Cleaning of semiconductor processing systems |
KR101057877B1 (ko) * | 2006-09-19 | 2011-08-19 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 세정 방법 및 플라즈마 cvd 방법 |
US20080090392A1 (en) * | 2006-09-29 | 2008-04-17 | Varian Semiconductor Equipment Associates, Inc. | Technique for Improved Damage Control in a Plasma Doping (PLAD) Ion Implantation |
US7619229B2 (en) * | 2006-10-16 | 2009-11-17 | Varian Semiconductor Equipment Associates, Inc. | Technique for matching performance of ion implantation devices using an in-situ mask |
DE102007037527B4 (de) * | 2006-11-10 | 2013-05-08 | Schott Ag | Verfahren zum Beschichten von Gegenständen mit Wechselschichten |
JP5252613B2 (ja) * | 2006-12-25 | 2013-07-31 | 国立大学法人東北大学 | イオン注入装置およびイオン注入方法 |
US7691755B2 (en) * | 2007-05-15 | 2010-04-06 | Applied Materials, Inc. | Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor |
WO2009039382A1 (en) | 2007-09-21 | 2009-03-26 | Semequip. Inc. | Method for extending equipment uptime in ion implantation |
US7968439B2 (en) | 2008-02-06 | 2011-06-28 | Applied Materials, Inc. | Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces |
KR20110005683A (ko) * | 2008-02-11 | 2011-01-18 | 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 | 반도체 가공 시스템에서의 이온 공급원 세정법 |
US7659184B2 (en) * | 2008-02-25 | 2010-02-09 | Applied Materials, Inc. | Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking |
US20090297409A1 (en) * | 2008-05-30 | 2009-12-03 | Buchanan Walter R | Discharge plasma reactor |
JP5178342B2 (ja) * | 2008-06-23 | 2013-04-10 | キヤノン株式会社 | 堆積物除去方法及び堆積膜形成方法 |
JP2010050188A (ja) * | 2008-08-20 | 2010-03-04 | Panasonic Corp | プラズマドーピング装置 |
WO2010123707A2 (en) * | 2009-04-20 | 2010-10-28 | Applied Materials, Inc. | Enhanced scavenging of residual fluorine radicals using silicon coating on process chamber walls |
US11615941B2 (en) | 2009-05-01 | 2023-03-28 | Advanced Energy Industries, Inc. | System, method, and apparatus for controlling ion energy distribution in plasma processing systems |
US20110021011A1 (en) | 2009-07-23 | 2011-01-27 | Advanced Technology Materials, Inc. | Carbon materials for carbon implantation |
US9627180B2 (en) * | 2009-10-01 | 2017-04-18 | Praxair Technology, Inc. | Method for ion source component cleaning |
US8598025B2 (en) | 2010-11-15 | 2013-12-03 | Varian Semiconductor Equipment Associates, Inc. | Doping of planar or three-dimensional structures at elevated temperatures |
US9812291B2 (en) | 2012-02-14 | 2017-11-07 | Entegris, Inc. | Alternate materials and mixtures to minimize phosphorus buildup in implant applications |
US9685297B2 (en) | 2012-08-28 | 2017-06-20 | Advanced Energy Industries, Inc. | Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system |
US9209032B2 (en) * | 2013-03-15 | 2015-12-08 | Tokyo Electron Limited | Electric pressure systems for control of plasma properties and uniformity |
US9524849B2 (en) * | 2013-07-18 | 2016-12-20 | Varian Semiconductor Equipment Associates, Inc. | Method of improving ion beam quality in an implant system |
WO2015023903A1 (en) * | 2013-08-16 | 2015-02-19 | Entegris, Inc. | Silicon implantation in substrates and provision of silicon precursor compositions therefor |
CN105849869B (zh) * | 2013-11-26 | 2017-08-11 | 瓦里安半导体设备公司 | 处理工件的方法 |
JP5750496B2 (ja) * | 2013-12-11 | 2015-07-22 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法 |
US10553411B2 (en) | 2015-09-10 | 2020-02-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Ion collector for use in plasma systems |
US10882021B2 (en) | 2015-10-01 | 2021-01-05 | Ion Inject Technology Llc | Plasma reactor for liquid and gas and method of use |
US11452982B2 (en) | 2015-10-01 | 2022-09-27 | Milton Roy, Llc | Reactor for liquid and gas and method of use |
EP3356026B1 (en) | 2015-10-01 | 2022-11-09 | Milton Roy, LLC | Plasma reactor for liquid and gas |
US10187968B2 (en) | 2015-10-08 | 2019-01-22 | Ion Inject Technology Llc | Quasi-resonant plasma voltage generator |
JP6169666B2 (ja) * | 2015-10-20 | 2017-07-26 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法 |
US10046300B2 (en) | 2015-12-09 | 2018-08-14 | Ion Inject Technology Llc | Membrane plasma reactor |
US10460941B2 (en) * | 2016-11-08 | 2019-10-29 | Varian Semiconductor Equipment Associates, Inc. | Plasma doping using a solid dopant source |
US20180247800A1 (en) * | 2017-02-28 | 2018-08-30 | International Business Machines Corporation | Gallium implantation cleaning method |
US10161034B2 (en) | 2017-04-21 | 2018-12-25 | Lam Research Corporation | Rapid chamber clean using concurrent in-situ and remote plasma sources |
TWI635539B (zh) * | 2017-09-15 | 2018-09-11 | 金巨達國際股份有限公司 | 高介電常數介電層、其製造方法及執行該方法之多功能設備 |
CN111868873B (zh) | 2017-11-17 | 2023-06-16 | 先进工程解决方案全球控股私人有限公司 | 等离子体处理源和衬底偏置的同步的脉冲化 |
KR20200100642A (ko) | 2017-11-17 | 2020-08-26 | 에이이에스 글로벌 홀딩스 피티이 리미티드 | 플라즈마 프로세싱을 위한 이온 바이어스 전압의 공간 및 시간 제어 |
US11437221B2 (en) | 2017-11-17 | 2022-09-06 | Advanced Energy Industries, Inc. | Spatial monitoring and control of plasma processing environments |
EP3711082A4 (en) | 2017-11-17 | 2021-09-29 | AES Global Holdings, Pte. Ltd. | IMPROVED USE OF MODULATION SUPPLIES IN A PLASMA PROCESSING SYSTEM |
US10410845B2 (en) * | 2017-11-22 | 2019-09-10 | Applied Materials, Inc. | Using bias RF pulsing to effectively clean electrostatic chuck (ESC) |
JP7241627B2 (ja) * | 2019-07-05 | 2023-03-17 | 東京エレクトロン株式会社 | クリーニング方法及びプラズマ処理装置 |
CN114222958B (zh) | 2019-07-12 | 2024-03-19 | 先进工程解决方案全球控股私人有限公司 | 具有单个受控开关的偏置电源 |
CN112289669B (zh) * | 2019-07-25 | 2023-09-29 | 中微半导体设备(上海)股份有限公司 | 一种在无晶圆的真空反应腔内镀膜的方法及晶圆处理方法 |
JP7236954B2 (ja) * | 2019-08-06 | 2023-03-10 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP2023525768A (ja) * | 2020-05-11 | 2023-06-19 | エーイーエス グローバル ホールディングス, プライベート リミテッド | スイッチモードバイアスシステムを使用した表面電荷および電力フィードバックならびに制御 |
US11670487B1 (en) | 2022-01-26 | 2023-06-06 | Advanced Energy Industries, Inc. | Bias supply control and data processing |
US11942309B2 (en) | 2022-01-26 | 2024-03-26 | Advanced Energy Industries, Inc. | Bias supply with resonant switching |
US12046448B2 (en) | 2022-01-26 | 2024-07-23 | Advanced Energy Industries, Inc. | Active switch on time control for bias supply |
US20230282451A1 (en) * | 2022-03-05 | 2023-09-07 | Applied Materials, Inc. | Cover ring to mitigate carbon contamination in plasma doping chamber |
US11978613B2 (en) | 2022-09-01 | 2024-05-07 | Advanced Energy Industries, Inc. | Transition control in a bias supply |
US20240266149A1 (en) * | 2023-02-03 | 2024-08-08 | Tokyo Electron Limited | Methods for Semiconductor Process Chamber |
Family Cites Families (36)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4376688A (en) * | 1981-04-03 | 1983-03-15 | Xerox Corporation | Method for producing semiconductor films |
US4512812A (en) * | 1983-09-22 | 1985-04-23 | Varian Associates, Inc. | Method for reducing phosphorous contamination in a vacuum processing chamber |
GB8512455D0 (en) * | 1985-05-16 | 1985-06-19 | Atomic Energy Authority Uk | Coating apparatus |
EP0207768A3 (en) * | 1985-07-01 | 1987-08-05 | United Kingdom Atomic Energy Authority | Coating improvements |
US4764394A (en) * | 1987-01-20 | 1988-08-16 | Wisconsin Alumni Research Foundation | Method and apparatus for plasma source ion implantation |
JPH01306565A (ja) * | 1988-06-02 | 1989-12-11 | Canon Inc | 堆積膜形成方法 |
JP2708533B2 (ja) * | 1989-03-14 | 1998-02-04 | 富士通株式会社 | Cvd装置の残留ガス除去方法 |
GB9101462D0 (en) * | 1991-01-23 | 1991-03-06 | Unilever Plc | Edible spread |
US5366764A (en) * | 1992-06-15 | 1994-11-22 | Sunthankar Mandar B | Environmentally safe methods and apparatus for depositing and/or reclaiming a metal or semi-conductor material using sublimation |
US5354381A (en) * | 1993-05-07 | 1994-10-11 | Varian Associates, Inc. | Plasma immersion ion implantation (PI3) apparatus |
US5572038A (en) * | 1993-05-07 | 1996-11-05 | Varian Associates, Inc. | Charge monitor for high potential pulse current dose measurement apparatus and method |
US5711812A (en) * | 1995-06-06 | 1998-01-27 | Varian Associates, Inc. | Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes |
US5672541A (en) * | 1995-06-14 | 1997-09-30 | Wisconsin Alumni Research Foundation | Ultra-shallow junction semiconductor device fabrication |
US5654043A (en) * | 1996-10-10 | 1997-08-05 | Eaton Corporation | Pulsed plate plasma implantation system and method |
US5911832A (en) * | 1996-10-10 | 1999-06-15 | Eaton Corporation | Plasma immersion implantation with pulsed anode |
JP3341619B2 (ja) * | 1997-03-04 | 2002-11-05 | 東京エレクトロン株式会社 | 成膜装置 |
US6125859A (en) * | 1997-03-05 | 2000-10-03 | Applied Materials, Inc. | Method for improved cleaning of substrate processing systems |
TW460943B (en) * | 1997-06-11 | 2001-10-21 | Applied Materials Inc | Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions |
US6274058B1 (en) * | 1997-07-11 | 2001-08-14 | Applied Materials, Inc. | Remote plasma cleaning method for processing chambers |
US6136211A (en) * | 1997-11-12 | 2000-10-24 | Applied Materials, Inc. | Self-cleaning etch process |
US5976900A (en) * | 1997-12-08 | 1999-11-02 | Cypress Semiconductor Corp. | Method of reducing impurity contamination in semiconductor process chambers |
US6071573A (en) * | 1997-12-30 | 2000-06-06 | Lam Research Corporation | Process for precoating plasma CVD reactors |
US6217724B1 (en) * | 1998-02-11 | 2001-04-17 | Silicon General Corporation | Coated platen design for plasma immersion ion implantation |
US6020592A (en) * | 1998-08-03 | 2000-02-01 | Varian Semiconductor Equipment Associates, Inc. | Dose monitor for plasma doping system |
US6300643B1 (en) * | 1998-08-03 | 2001-10-09 | Varian Semiconductor Equipment Associates, Inc. | Dose monitor for plasma doping system |
US6050218A (en) * | 1998-09-28 | 2000-04-18 | Eaton Corporation | Dosimetry cup charge collection in plasma immersion ion implantation |
US6335536B1 (en) * | 1999-10-27 | 2002-01-01 | Varian Semiconductor Equipment Associates, Inc. | Method and apparatus for low voltage plasma doping using dual pulses |
US6182604B1 (en) * | 1999-10-27 | 2001-02-06 | Varian Semiconductor Equipment Associates, Inc. | Hollow cathode for plasma doping system |
US6426015B1 (en) * | 1999-12-14 | 2002-07-30 | Applied Materials, Inc. | Method of reducing undesired etching of insulation due to elevated boron concentrations |
US6350697B1 (en) * | 1999-12-22 | 2002-02-26 | Lam Research Corporation | Method of cleaning and conditioning plasma reaction chamber |
US7465478B2 (en) * | 2000-08-11 | 2008-12-16 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US6479098B1 (en) * | 2000-12-26 | 2002-11-12 | Taiwan Semiconductor Manufacturing Company | Method to solve particle performance of FSG layer by using UFU season film for FSG process |
US6533910B2 (en) * | 2000-12-29 | 2003-03-18 | Lam Research Corporation | Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof |
US6589868B2 (en) * | 2001-02-08 | 2003-07-08 | Applied Materials, Inc. | Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput |
US7588036B2 (en) * | 2002-07-01 | 2009-09-15 | Applied Materials, Inc. | Chamber clean method using remote and in situ plasma cleaning systems |
JP4013674B2 (ja) * | 2002-07-11 | 2007-11-28 | 松下電器産業株式会社 | プラズマドーピング方法及び装置 |
-
2004
- 2004-05-20 US US10/850,222 patent/US20050260354A1/en not_active Abandoned
-
2005
- 2005-05-19 JP JP2007527467A patent/JP2007538413A/ja not_active Withdrawn
- 2005-05-19 TW TW094116323A patent/TW200602510A/zh unknown
- 2005-05-19 KR KR1020067026723A patent/KR20070026608A/ko not_active Application Discontinuation
- 2005-05-19 CN CNA2005800203293A patent/CN1977351A/zh active Pending
- 2005-05-19 WO PCT/US2005/017699 patent/WO2005114692A2/en active Application Filing
Cited By (23)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101730927B (zh) * | 2007-07-10 | 2013-08-14 | 高美科株式会社 | 离子注入机及其内部结构及在注入机中形成涂层的方法 |
US8080813B2 (en) | 2007-07-10 | 2011-12-20 | Komico Ltd. | Ion implanter, internal structure of ion implanter and method of forming a coating layer in the ion implanter |
CN102576655A (zh) * | 2009-08-11 | 2012-07-11 | 瓦里安半导体设备公司 | 脉冲沉积与再结晶以及利用结晶化/非晶物质的堆叠式太阳能电池设计 |
TWI562226B (en) * | 2010-06-11 | 2016-12-11 | Varian Semiconductor Equipment | Techniques for plasma processing a substrate |
CN103774121A (zh) * | 2012-10-19 | 2014-05-07 | 陕西拓日新能源科技有限公司 | 一种用于非晶硅沉积的控制系统 |
CN103928280B (zh) * | 2013-01-16 | 2016-04-20 | 日新离子机器株式会社 | 离子注入装置和离子注入装置的运转方法 |
CN103928280A (zh) * | 2013-01-16 | 2014-07-16 | 日新离子机器株式会社 | 离子注入装置和离子注入装置的运转方法 |
CN104241067A (zh) * | 2013-06-10 | 2014-12-24 | Fei公司 | 电子束感应蚀刻 |
US10304658B2 (en) | 2013-06-10 | 2019-05-28 | Fei Company | Electron beam-induced etching |
CN105900213A (zh) * | 2013-12-30 | 2016-08-24 | Gtat公司 | 用于cad反应器的改善的辐射屏障 |
CN105900213B (zh) * | 2013-12-30 | 2020-08-21 | Gtat公司 | 用于cad反应器的改善的辐射屏障 |
US11015244B2 (en) | 2013-12-30 | 2021-05-25 | Advanced Material Solutions, Llc | Radiation shielding for a CVD reactor |
CN110085499B (zh) * | 2014-09-01 | 2022-03-04 | 恩特格里斯公司 | 利用增强源技术进行磷或砷离子植入 |
CN110085499A (zh) * | 2014-09-01 | 2019-08-02 | 恩特格里斯公司 | 利用增强源技术进行磷或砷离子植入 |
CN104465292B (zh) * | 2014-11-28 | 2017-05-03 | 上海华力微电子有限公司 | 一种离子注入机的预处理方法 |
CN104465292A (zh) * | 2014-11-28 | 2015-03-25 | 上海华力微电子有限公司 | 一种离子注入机的预处理方法 |
CN112086381A (zh) * | 2015-10-02 | 2020-12-15 | 朗姆研究公司 | 用于原子层沉积的动态前体投配 |
CN107039227B (zh) * | 2015-11-13 | 2020-03-03 | 住友重机械离子技术有限公司 | 离子生成装置及离子生成装置的控制方法 |
TWI698899B (zh) * | 2015-11-13 | 2020-07-11 | 日商住友重機械離子技術有限公司 | 離子生成裝置及離子生成裝置的控制方法 |
CN107039227A (zh) * | 2015-11-13 | 2017-08-11 | 住友重机械离子技术有限公司 | 离子生成装置及离子生成装置的控制方法 |
CN112154534A (zh) * | 2018-06-01 | 2020-12-29 | 应用材料公司 | 控制金属污染的腔室的原位cvd和ald涂布 |
CN110828272A (zh) * | 2018-08-09 | 2020-02-21 | 北京北方华创微电子装备有限公司 | 腔室内衬、下电极装置和半导体处理设备 |
CN110828272B (zh) * | 2018-08-09 | 2022-09-16 | 北京北方华创微电子装备有限公司 | 腔室内衬、下电极装置和半导体处理设备 |
Also Published As
Publication number | Publication date |
---|---|
US20050260354A1 (en) | 2005-11-24 |
WO2005114692A9 (en) | 2006-01-19 |
JP2007538413A (ja) | 2007-12-27 |
WO2005114692A3 (en) | 2006-03-02 |
KR20070026608A (ko) | 2007-03-08 |
WO2005114692A2 (en) | 2005-12-01 |
TW200602510A (en) | 2006-01-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN1977351A (zh) | 等离子体离子注入系统的原位处理室制备方法 | |
KR102694640B1 (ko) | 저온 ald 막들을 위한 챔버 언더코팅 준비 방법 | |
KR102510157B1 (ko) | 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들 | |
KR102439698B1 (ko) | Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막 | |
US9564312B2 (en) | Selective inhibition in atomic layer deposition of silicon-containing films | |
CN100524626C (zh) | 用于等离子体注入的蚀刻和沉积控制 | |
US20220238325A1 (en) | In-situ control of film properties during atomic layer deposition | |
TW201608053A (zh) | 背面沉積設備及方法 | |
US8975603B2 (en) | Systems and methods for plasma doping microfeature workpieces | |
US20240332007A1 (en) | Modulated atomic layer deposition | |
KR20190088079A (ko) | 챔버 드리프팅 없이 고온 프로세싱을 가능하게 하는 방법 | |
KR20200101466A (ko) | 에칭 잔여물-기반 억제제들을 사용하는 선택적인 프로세싱 | |
CN109417030A (zh) | 高深宽比圆筒蚀刻的沉积侧壁钝化技术 | |
US20230154754A1 (en) | Loss prevention during atomic layer deposition | |
EP0780491A1 (en) | Process for reducing substrate damage during PECVD | |
JP2022510862A (ja) | インターバル調整パージによるスループットの向上 | |
Jansen | Plasma-enhanced chemical vapor deposition | |
TW202334475A (zh) | 原子層沉積接縫減少 | |
KR20230025484A (ko) | 원자 층 증착을 사용하는 플라즈마 에칭 툴들에 사용된 컴포넌트들의 표면들 시일링 | |
TW202409322A (zh) | 橫向間隙填充 | |
CN111295734A (zh) | 增加反应器处理批量大小的方法和设备 | |
JPH0222146B2 (zh) |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C02 | Deemed withdrawal of patent application after publication (patent law 2001) | ||
WD01 | Invention patent application deemed withdrawn after publication |
Open date: 20070606 |