CN111295734A - 增加反应器处理批量大小的方法和设备 - Google Patents

增加反应器处理批量大小的方法和设备 Download PDF

Info

Publication number
CN111295734A
CN111295734A CN201880070970.5A CN201880070970A CN111295734A CN 111295734 A CN111295734 A CN 111295734A CN 201880070970 A CN201880070970 A CN 201880070970A CN 111295734 A CN111295734 A CN 111295734A
Authority
CN
China
Prior art keywords
reaction chamber
plasma
processing
batch
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201880070970.5A
Other languages
English (en)
Inventor
普尔凯特·阿加瓦尔
普鲁肖塔姆·库马尔
理查德·菲利普斯
阿德里安·拉沃伊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/799,679 external-priority patent/US10431451B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN111295734A publication Critical patent/CN111295734A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4486Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by producing an aerosol and subsequent evaporation of the droplets or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • H01J37/32761Continuous moving
    • H01J37/32779Continuous moving of batches of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/3288Maintenance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Electromagnetism (AREA)
  • Dispersion Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

提供了一种增加反应室批量大小的方法和使用该方法的等离子体处理设备。所述方法包含:(a)在所述反应室内处理批量晶片的一部分,其中所述处理导致至少一些材料靶外沉积在所述反应室的内部表面上;(b)执行批量中间反应室处理,以稳固累积于所述反应室的内部表面上的所述靶外沉积材料;以及(c)在所述反应室内处理所述批量晶片的另一部分。

Description

增加反应器处理批量大小的方法和设备
相关申请的交叉引用
本申请要求享有2017年10月31日提交的名称为“METHODS AND APPARATUSES FORINCREASING REACTOR PROCESSING BATCH SIZE”的美国专利申请No.15/799,679的优先权,该申请通过引用并入本文以用于所有目的。
背景技术
半导体处理通常是在专用处理设备中进行,其中通常需要获得经优化且有效率的生产能力。此类设备可包含在处理期间容置批量晶片的反应室。反应室还可包含用于半导体加工中的各种硬件件(例如,衬底支撑件、喷头等)。在一些情况下,可在反应室用于处理衬底之前,对反应室进行处置、或时效处理(season)。反应室处置可采用一些不同的形式,且可针对各种理由而执行。此外,在一些情况下,由于沉积在反应室的各种内部组件上的靶外(off-target)膜的累积,其需要使处理停止并使室关闭以进行清洁,因此在清洁循环之间反应室所能处理的晶片的总数可能受到限制。
发明内容
本文的某些实施方案涉及一种增加反应室批量大小的方法,所述方法包括:(a)在所述反应室内处理批量晶片的一部分,其中所述处理导致至少一些材料靶外沉积在所述反应室的内部表面上;(b)执行批量中间反应室处理,以稳固累积于所述反应室的内部表面上的所述靶外沉积材料;以及(c)在所述反应室内处理所述批量晶片的另一部分。
所述方法还可包括:重复(b)-(c)直到所述批量晶片的处理完成为止。
在一些实施方案中,所述反应室批量大小为在反应室清洁循环之间能在所述反应室中进行处理的晶片的数量。
所述方法还可包括:在所述反应室中进行批量处理之前,对所述反应室的内部表面进行时效处理。
在一些实施方案中,所述对所述反应室的内部表面进行时效处理包括涂布与用于在(a)或(c)期间沉积于所述批量晶片上的材料相同的材料的涂层。
在一些实施方案中,(a)或(c)可以包括将材料沉积于所述批量晶片中的晶片上。
在一些实施方案中,所述时效处理包含:在无晶片存在于所述反应室中时,通过原子层沉积(ALD)将涂层涂布于所述反应室的内部表面上。
所述方法还可以包括:在(c)完成之后,清洁所述反应室的内部表面。
所述方法还可包括:(d)在所述批量晶片的处理完成之后,清洁所述反应室的内部表面。
在一些实施方案中,在每隔所述批量晶片的总批量累积限度的指定间隔时执行(b)。此外,所述指定间隔可以是通过经验确定的。另外,所述指定间隔可以发生于所述室的内部表面上的材料累积的有害程度之前,所述室的内部表面上的材料累积的有害程度导致材料剥离及晶片缺陷和/或颗粒产生。
在一些实施方案中,所述总批量累积限度为所述反应室的内部表面上的累积材料的厚度,超过所述厚度则处理受到损害,使得在进一步进行处理之前需要进行所述反应室的清洁。
在一些实施方案中,(b)包括沉积膜,所述膜结合于累积在所述反应室的内部表面上的材料上。此外,所述沉积膜的可压缩性可以通过对选自由下列各者所组成的群组中的任一者或多者进行调整而增强:射频(RF)功率电平、反应室压强或RF处理时间。
在一些实施方案中,其中(b)包括:在所述材料累积至指定厚度之后,使累积于所述反应室的所述内部表面上的所述材料暴露于等离子体。此外,在2托至10托的范围内的压强下可以执行等离子体暴露,以促进等离子体扩散至沉积于所述反应室的所述内部表面上的膜中。另外,可以在所述反应室内的喷头的面板上点燃所述等离子体。此外,所述等离子体可以来源于由下列各项所组成的群组中的任一项:氢、氦、氩、或含氮源。另外,暴露于所述等离子体可以将约
Figure BDA0002473785500000031
的膜沉积于所述反应室的所述内部表面上所累积的材料上。而且,可以停用清扫,以使得所述等离子体在整个所述反应室中能均匀地分散。在一些实施方案中,所述等离子体具有400kHz的频率。
在一些实施方案中,所沉积的所述膜使所述反应室的所述内部表面上的材料稳固。此外,所述暴露于所述等离子体可以使所沉积的所述膜致密化,以稳固所述反应室的所述内部表面上的所述材料。另外,所述膜的可压缩性可以通过选自由下列各项所组成的群组中的方法而增加:施加在2kw-7kw的范围内的射频(RF)功率、施加在2托-10托的范围内的高压、或使用0.2秒(s)-10s的RF等离子体时间。
所述方法还可以包括:(d)使所述反应室接地。此外,接地的所述反应室可以促进等离子体扩散至所述反应室的外部。在一些实施方案中,可以被配置以输送沉积气体至所述批量晶片的喷头是被供电的。另外,在一些实施方案中,被配置以支撑所述批量晶片的基座是被供电的。此外,用于执行(d)的等离子体可以由远程等离子体清洁单元供应。所述远程等离子体清洁单元可以安装在所述反应室上。
另一方面包括一种用于处理衬底的等离子体处理设备。所述设备可以包含反应室,其包含:内部室表面,衬底支撑件,其用于支撑在所述反应室内的衬底,以及排放端口,其用于将材料从所述反应室中移除;远程等离子体室,其包含:等离子体产生器,其用于在所述远程等离子体室内产生等离子体,入口,其用于将气体输送至所述远程等离子体室,出口,其用于将在所述远程等离子体室中产生的等离子体提供至所述反应室:以及控制器,其被配置成执行用于以下操作的指令:在所述反应室内处理批量晶片的一部分;执行批量中间反应室处理,以稳固由于批量处理而累积于所述反应室的内部表面上的材料;以及在所述室内处理所述批量晶片的另一部分。
在一些实施方案中,所述等离子体处理设备远离所述反应室。
在一些实施方案中,所述控制器进一步配置成执行用于以下操作的指令:(d)在(c)完成之后,清洁所述反应室的所述内部表面。
这些和其它方面将在下面参照附图进一步说明。
附图说明
图1显示用以利用从远程源输送的等离子体来处理衬底的反应室的简化图。
图2显示图1的反应室,其具有覆盖室的内部表面的涂层。
图3为处理流程图,其描绘了用于根据公开的实施方案的方法的操作。
图4A-4B为处理流程图,其描绘了用于根据公开的实施方案的方法的操作。
图5A-5B为示例性图表,其呈现根据公开的实施方案的方法的样本操作条件。
图6为示例性图表,其呈现根据公开的实施方案的方法的样本操作条件。
图7为用于执行某些公开的实施方案的示例性处理工具的示意图。
图8为用于执行某些公开实施方案的另一示例性处理工具的示意图。
具体实施方式
在下面的描述中,阐述了许多具体细节以提供对所呈现的实施方案的透彻理解。在没有这些具体细节中的一些或所有的情形下可以实施本文所公开的实施方案。在其他情形下,未详细描述公知的处理操作,以避免不必要地模糊所公开的实施方案。另外,虽然将结合具体的实施方案描述了所公开的实施方案,但是应理解的是具体的实施方案并不意在限制所公开的实施方案。
在该应用中,用语“晶片”和“衬底”可互换地使用。用于半导体器件产业中的晶片或衬底通常具有200mm、或300mm、或450mm的直径。除非另外说明,否则本文所述的处理细节(例如,流动速率、功率电平等)是相关于处理300mm直径的衬底、或相关于处置被配置以处理300mm直径的衬底的室,且可针对其他尺寸的衬底或室按比例适当缩放。本文所述的室可用于处理可为各种外形、尺寸以及材料的工件。除了半导体晶片之外,可在根据某些实施方案而制备的室中进行处理的其他工件包含各种物件,例如印刷电路板、磁记录介质、磁记录传感器、镜、光学组件、微机械装置等。
介绍
在半导体制造中,期望获得有效率的反应室生产力。按传统,将批量晶片供应至反应室以在其中于(多个)晶片上进行处理(例如沉积)。然而,材料无意地靶外沉积于各种内部室表面(例如反应室的侧壁)上可能导致最终的颗粒生成,例如,此类材料剥离至在室中进行处理的晶片上。这种靶外材料的剥离是不期望有的,因为其可能污染进行处理的晶片,从而使所处理的批量晶片的整体质量降低。
按传统,在达到反应室批量大小后,需立即实施反应室内部的完整清洁,该反应室批量大小即:在被处理的晶片实质上可能因颗粒生成而污染之前,能够在反应室中受处理的晶片的最大数量,其中颗粒生成起因于室中累积的靶外沉积。实施这种清洁需要从反应室移出容纳于其中用于处理的内容物,因此可能使产能降低,且妨碍在指定时间内的较大批量的晶片处理。
增加反应室批量大小将通过使额外的晶片能在所需清洁循环之间在反应室中进行处理而提高生产力(或产能)。这样的增加可通过本文所公开方法中的一或多者而实现,即批量增加累积程序(BIAS)相关处理的应用,其所描述的处理利用居间(或批量中间)室处理以短暂中断常规晶片处理,以稳固沉积于内部反应室组件(例如室内部侧壁)上的靶外材料,从而防止这样的材料剥离或以其它方式产生颗粒而污染进行处理的晶片。
如此处及遍及本公开内容的别处所使用的剥离(flaking)可以是指通过以下方式导致的颗粒生成的形式:反应室内部表面上的靶外沉积材料部分或完全地崩解至在反应室中受处理的批量晶片上。剥离为不期望有的情况,且可能经由将缺陷及/或其他颗粒引入至晶片而损害进行处理的批次的质量。除了剥离之外,可能观察到“剥皮”(peeling)现象。剥皮描述了一种特定类型的剥离,其中靶外沉积材料的顶部暴露表面在处理期间从其所附着的内壁均匀地分离而落在晶片上。
反应室
图1呈现反应或处理室的简化示例图,可结合该反应或处理室而实施根据本公开内容的处理及设备。处理室102包含室壁103、室地板104、及室天花板105。衬底支撑件106定位于处理室102内,衬底107坐落于衬底支撑件106上。处理室102还包含入口108及排放出口109。在一些实施方案中,远程等离子体源110设置于处理室102的上方。远程等离子体源110包含等离子体产生器(未图示),其用于在远程等离子体源内产生等离子体。等离子体产生器包含用于产生等离子体的硬件(例如,线圈、电极等),等离子体可为感应耦合等离子体(ICP)、电容耦合等离子体(CCP)、或微波生成等离子体等。远程等离子体源110通过喷头111而与处理室102分离,喷头111具有多个喷头孔洞112。远程等离子体源110具有用于提供气体的入口113,气体用于产生远程等离子体。
在典型情况下,在处理室102内依次地处理一堆晶片(例如一、二至四个晶片),例如在晶片上进行沉积。例如,四个晶片进入处理室102,经受处理并接着被移去。接着,额外的四个未处理晶片可被送入处理室102中,以进行处理。传送成堆的晶片直到在所需室清洁循环间达到总目标量或“批量”为止的这种方式可称为“批量处理”。晶片是在一或多站(例如,1、2、或4个站)依次地进行处理,直到达到反应室批量大小(例如,限度)为止。通过靶外沉积材料的居间(intermediate)处理以避免此类材料干扰后续的晶片处理,BIAS的应用使反应室批量大小扩大。因此,通过应用BIAS,可在暂时中断晶片处理以处置或清洁反应室的内表面的残留物累积之前,对大量晶片进行处理,其中残留物是由于在反应室的内表面上的靶外沉积而累积的。
图2说明在以下处理之后的图1中所示设备:其内部组件表面经过涂布,例如通过施加诸如涂层220之类的底涂层(UCT)而“时效处理”,如以下进一步描述的。一般而言,“时效处理”是指制备反应室的内部表面的处理,以在反应室内处理晶片。在一些实施方案中,时效处理可涉及将硅氧化物(SiO2)涂层或UCT涂布于内部表面。在其他实施方案中,硅氧化物(SiOx)、氮化物、钨、或其他合适材料(如介电材料)可用于时效处理中,具体取决于在反应室内所沉积的是什么。
所描绘的涂层220还可代表在反应室中于晶片处理期间的靶外沉积的材料的累积。在本公开内容中的此处及别处所使用的术语“累积”整体上描述反应室的内部表面上的靶外沉积材料的堆积。同样地,用语“常态累积”描述在反应室内处理批量晶片期间的传统累积过程,其在达到最大反应室批量大小时即被清洁。衬底107(例如晶片)未图示于此图中,且为说明的目的而将涂层220的厚度放大。此外,涂层220可存在于图2中无法看见的区域中,例如在喷头孔洞112的内部表面上。在一些实施方案中,低复合材料涂层220仅覆盖处理室102内侧的表面。
衬底107(例如半导体晶片)的处理可涉及在其上通过各种处理(例如原子层沉积(ALD))进行沉积。在晶片处理期间,指定数量的晶片(例如一、二、或四个晶片)可在处理室102中进行处理,然后被循环移出,以使得新的未处理晶片能进入。在花费于处理大量晶片的某时间量之后,预期沉积于晶片上的材料可能开始累积在非预期的位置上,例如室壁103上。结果,此类靶外沉积材料可能开始产生颗粒,例如从室壁103剥离并下落(或以其它方式移动)至衬底107上而污染晶片处理。
因此,实施图4及5A-5B中所进一步描述的处理可固定或稳固室壁上的此类靶外沉积材料。靶外沉积材料的这种稳固化可允许额外地持续处理衬底107,直到必须进行最终的室壁103清洁循环以取出并除去靶外沉积材料为止。一般而言,清洁循环是指从各种内部反应器组件(如侧壁)移除不期望有的靶外沉积材料。通常对反应室进行清洁以使反应室中的晶片处理能重新开始。室清洁可为湿式(利用液相化学品)或干式(例如,利用等离子体)。此外,可通过提供等离子体至反应室而进行室清洁(通常称为“等离子体清洁”),以清洁反应室的内部表面上的靶外沉积材料。可利用原位或远程等离子体以进行等离子体清洁。
图3显示了示例性的处理流程300,其用于解决在晶片批量处理期间由反应室的内部表面上的靶外沉积所引起的问题。处理300开始于操作302,其涉及将一或更多晶片提供至反应室,例如处理室,如图1所示的。
在一些实施方案中,可使多个晶片进入反应室以进行多站的依次处理,之后在处理完成后即从反应室中移去。在其他实施方案中,可将室配置为一次处理一个晶片。这样的多个晶片的处理可统称为“批量处理”,其中“批量”晶片指:在需将反应器关闭以进行完全清洁之前,可在反应室清洁循环之间于反应室中进行处理的晶片总数,其中将反应器停用以进行完全清洁是为了在无处理漂移和/或晶片污染的风险下继续处理晶片,所述处理漂移和/或晶片污染由于颗粒生成而导致,所述颗粒生成例如由于反应室中的晶片处理而在内部反应器组件(尤其是侧壁)上累积的靶外沉积材料的剥离。一般而言,清洁循环涉及将反应室完全停用,以在没有因颗粒生成导致的污染的风险下继续处理晶片之前提供完全清洁,所述颗粒生成例如由于反应室中的先前的晶片处理而沉积于内部反应器组件(尤其是侧壁)上的累积靶外沉积材料的剥离。
在典型的批量处理过程中,期望的处理产能可能由于在批量晶片处理期间反应室的内部表面上的靶外材料的持续累积而受到限制。在批量晶片的一部分的初始处理之后进行的操作306通过执行批量中间反应室处理以使沉积于例如反应室的侧壁上的靶外材料稳固而解决靶外沉积的问题。可在操作306的批量中间处理开始之前,将反应室内的任何晶片从反应室中移去,以避免来源于批量中间处理的不期望有的污染。在一些实施方案中,批量中间处理可涉及一或更多不同的处理变形例,其在图4A-4B中进一步描述。在操作306的反应室的批量中间处理完成之后,可在操作310中结束处理300之前,在操作308中在反应室内对批量晶片的另一部分进行处理。
因此,在操作306实施的批量中间反应室处理可使所需的清洁循环之间的能在反应室内进行处理的晶片总数增加,因而使待处理的晶片的批量大小有效增加。因此,需要包含操作306的处理300(也称为批量增加累积程序(BIAS)),以通过在所需清洁循环(例如,其中将累积的靶外沉积材料移除以免附着于反应室的侧壁)之间延长反应室的可用性或寿命,而使在给定反应室中进行处理的晶片的总操作产能增加。
图4A显示了全面性的处理流程400,其根据参照图4所述的一般处理中的一特定实施方案而描述BIAS。图4B将结合图4A进行讨论和描述,并显示若干特定类型的批量中间反应室处理,例如,在处理流程300中的操作306处所实施的,以及同样地在处理流程400中的操作412处所实施的。在操作402开始之后,本领域技术人员应理解,反应室的内部表面可通过通过传统沉积方法或经由原子层沉积(ALD)在其上沉积薄膜而制备或进行时效处理。在时效处理操作404所沉积的薄膜可称为“预涂层”、或称为“底涂层”(UCT),且在一些实施方案中可包含电介质(如硅氧化物(SiO2))或适于沉积的其他氧化物。此外,可在相对短的期间内通过ALD沉积硅氧化物作为UCT,以控制在500至1,200个ALD循环的过程中所沉积的膜的厚度,例如,在最小值
Figure BDA0002473785500000091
至最大值
Figure BDA0002473785500000092
的范围内,通常在
Figure BDA0002473785500000093
Figure BDA0002473785500000094
内。
ALD为标称自限性步骤的循环处理,其导致膜厚度的小变化及数字上的变化。该处理通过平滑度和保形性表征。“ALD循环”的构思与本文许多实施方案的讨论相关。一般而言,ALD循环是用于执行一次表面沉积反应的最小操作集。一个循环的结果是在例如衬底表面上产生至少部分的含硅膜层。通常,ALD循环包含操作以使至少一种反应物输送和吸附至衬底表面,并接着使所吸附的反应物与一种或更多种反应物进行反应,以形成部分膜层。该循环可包含某些辅助操作,例如清除反应物或副产物中的一者和/或处置所沉积的部分膜。一般而言,循环包含独特操作序列的一个实例。举例而言,ALD循环可包含以下操作:(i)输送/吸附含硅前体;(ii)从室中清扫掉含硅前体;(iii)输送第二反应物与等离子体;以及(iv)从室中清扫掉等离子体。适于通过ALD生成及涂布各种类型的UCT的前体、处理气体和/或试剂的各种流率范围显示于图5A中,其中该栏标示为“UCT”。用于涂布UCT以及额外的各种批量中间处理涂层的ALD处理的以每分钟标准立方厘米(sccm)为单位的流率可以包含图5A中所示的特定范围。例如,可使选自BTBAS(双叔丁基氨基硅烷)、BDEAS(双(二乙基氨基)硅烷)((Et2N)2SiH2)、或DIPAS(二异丙氨基硅烷)的前体以500-3,000sccm的体积流率流入反应室,以使例如硅氧化物(SiO2)(如硅酸盐玻璃)的UCT生成和涂布于反应室的内部表面。用于生成含硅UCT的其他合适的示例性前体(或反应物)可包含各种其他的双烷基氨基硅烷,其中其烷基可含有1-6个碳基。并且,每一氨基团可各自以烷基单取代或双取代。此外,在某些实施方案中,可使用烯基与炔基的变形物作为用于生成含硅UCT的前体或反应物。在一些情况或配置中,可在分子上使用不同的烷基(例如,一个或更多个胺可被甲基取代,且一个或更多个其他的胺可被乙基取代)。在某些实施方案中,一或更多个烷基可提供硅烷核的空间位阻。同样,也可根据需求使诸如氩(Ar)气之类的载气流入反应室中以生成UCT。
接着,在如上所述在操作404进行反应室的时效处理以涂布UCT之后,将批量晶片的一部分提供至反应室以在其中进行处理。如先前针对处理流程300所介绍的,批量可指在所需室清洁循环之间能由反应室所处理的晶片的最大数量。该批量的一部分可为少于全部批量的任何数量。在一些实施方案中,可将批量分为相等的部分,例如代表该批量的一半、三分之一、四分之一等,且这些部分可在经验上与累积限度相关联,该累积限度刚好少于进行处理的晶片实质上可能因颗粒生成而污染的限度,该颗粒生成由于室中累积的靶外沉积而导致。在一特定示例中,可将批量分为四等分,在完成其处理之后,批量的25%、50%、75%和100%是完成的。操作408涉及处理批量晶片的一部分,且可涉及(如前所述)按顺序处理多个群组的晶片(例如各群组为一、两个至四个晶片),使其循环进出反应室以进行处理。在一些实施方案中,在操作408的处理可涉及对批量晶片的一部分执行的一种或多种技术,包括通过ALD处理而进行沉积。
在将经处理的晶片从反应室中移去之后,于操作412使该部分的处理暂时中断,以实施反应室的批量中间处理。批量中间处理使在操作408处理该部分期间不慎于反应室的内部表面上沉积的靶外材料稳固。
BIAS的优点之一为:通过使在强制性清洁循环之间能由反应室所处理的最大批量大小增加而使反应室的净产能提高。相对大的批量大小意指更多的反应室时间可用于在其中处理晶片,而非用于频繁地中断处理以完成全面性的辅助操作(如室清洁)。因此,实施BIAS会促使产能提高以及降低批量中所观察到的缺陷度(例如,可能由频繁的处理中断以清洁反应室所引起)。
图4B显示了可在操作412实施的特定类型的处理的若干变形例。例如,可通过变形例A将附着于反应室的侧壁的靶外沉积材料固定或封在适当位置,例如,通过涂布(例如沉积)将靶外沉积材料结合于其所附着的表面(例如侧壁及/或其他反应室内部组件)的高度可压缩膜来防止未来的可能干扰批量晶片处理的靶外沉积材料剥离或分解。
在批量处理期间,可在每隔一组预先指定的间隔(例如在处理总批量限度的25%、50%、或75%)时沉积这样的膜,这样的间隔可根据经验确定。替代地,可在每隔定期时间间隔(例如,从处理开始每经过单位时间)时执行批量中间处理。此外,鉴于在反应室的内部表面上累积的靶外材料倾向于与自最近的清洁循环以来在其中进行了处理的晶片数量成比例,因而可根据对累积的靶外材料的测量而选择可涂布批量中间室涂层的间隔。除了在总批量限度的特定比例时涂布批量中间室涂层之外、或者取代在总批量限度的特定比例时涂布批量中间室涂层,还可实施这种测量。
通过变形例A(操作412的子集)的批量中间处理而使被涂布以结合靶外沉积材料的膜或涂层的可压缩性增加的典型方法包含(但不限于)在以下条件下通过ALD而涂布膜:2kw-7kW的高射频(RF)功率、2托(T)-10托的高压、较长的RF时间(0.2s-10s),或通过对本领域技术人员而言明显的其他方法涂布膜。此外,在某些实施方案中,可根据需要以任何组合方式将所提及的技术中的一或多者组合,以增加膜的可压缩性。
图5A中(标题“批量中间-1/2”下方)提供了更加全面的示例性处理条件列表。例如,用于在例如总批量累积限度的50%时涂布可压缩膜涂层的合适的批量中间处理条件可包含在500sccm至3,000sccm的体积流率下的前体流动。用于形成及涂布硅氧化物涂层的合适前体可包含选自包含下列各项的群组的含硅物质:BTBAS(双叔丁基氨基硅烷)、BDEAS(双(二乙基氨基)硅烷)((Et2N)2SiH2)、或DIPAS(二异丙氨基硅烷),其以500-3,000sccm的体积流率流入反应室,以使例如硅氧化物(SiO2)(如硅酸盐玻璃)的UCT生成和涂布于反应室的内部表面。用于生成含硅UCT的其他合适的示例性前体(或反应物)可包含各种其他的双(烷基氨基)硅烷,其中其烷基可含有1-6个碳基。并且,每一氨基团可各自以烷基单取代或双取代。此外,在某些实施方案中,可使用烯基与炔基的变形物作为用于生成含硅UCT的前体或反应物。在一些情况或配置中,可在分子上使用不同的烷基(例如,一个或更多个胺可被甲基取代,且一个或更多个其他的胺可被乙基取代)。在某些实施方案中,一或更多个烷基可提供硅烷核的空间位阻。也可根据需求使诸如氩(Ar)气之类的载气流入反应室中以生成UCT。另外,在某些实施方案中,含氧物质可选自包含氧化亚氮(N2O)气体及/或氧(O2)气的群组,且可流入反应室中。
使用图示的范围(例如5,000sccm-50,000sccm)中的氮(N2)气的后续清扫操作可用于根据需要而将处理试剂从反应室中排空。在某些实施方案中,可在与第一清扫相似的流率范围下实施第二清扫操作。可在沉积(例如ALD)和清扫操作期间将总反应室压强维持于1T至10T之间。
同样,沉积及相关的清扫操作的步骤时序显示于大致的流率范围下方。配料时序以秒为单位表示前体投配时间;PDP表示投配后清扫时间(例如惰性气体流清扫时间)以从反应室中的晶片反应区域除去沉积前体;RF时间指在沉积操作期间反应物存在的情况下射频(RF)等离子体功率接通的时间段;且RF清扫时间指在RF等离子体驱动沉积之后无反应物或等离子体功率的情况下的清扫持续时间。在ALD及清扫操作期间可用于调整的额外的反应室处理参数包含室温度及功率设定。例如,安瓿温度指当反应物进入室时的反应物温度,且可在20℃-80℃的范围内;气体管线温度指处理气体通过气体管线被输送至反应室的温度,且可在20℃-85℃的范围内;基座(“ped”)温度指保持预定用于处理的(多个)晶片的基座的温度,且可在20℃-550℃的范围内,具体取决于处理应用及所沉积的膜的需求;室温度指在ALD及相关的清扫处理期间反应室的内部温度,且可被设定于20℃-85℃的范围:且顶板温度可被设定于20℃-85℃的范围。
可接受的功率设定包含图5A中所示的、在各种反应室组件(例如喷头(“SHD”)与基座(“ped”))的特定范围内的功率设定,喷头与基座两者的功率皆可在如图所示的频率范围下提供。此外,在某些实施方案中,处置后处理(post-treatment process)可与图5A中的批量中间-1/2处理所示的ALD及相关清扫处理结合、在所示的大致的功率电平、流入反应室的气体物质、频率及时间间隔中加以应用。
在一些实施方案中,不是如上述涂布可压缩膜以涂布和封住靶外沉积材料,而是可以使反应室的内部表面上的累积靶外沉积材料暴露于等离子体,例如,如变形例B所示。可在每隔总批量累积限度的期望间隔(例如25%、50%或75%)时,在低压下实施等离子体暴露,例如以使等离子体更容易扩散进入靶外沉积材料以将这些材料稳固于适当位置,从而防止其在处理期间落在批量晶片上。例如,变形例B的合适的反应室处理条件、以及任选的后处置可为如图5B中的“批量中间-3”所示。等离子体可通过与用于沉积所示的方式(如图5A的“处理”栏中所示)相同的方式产生,并可被输送至介于喷头(其可被供电)与基座(其可接地)之间的处理室。另外,在某些实施方案中,如上所述产生的等离子体可扩散以改善沉积于载送环上的材料的质量,载送环可被定位于反应室内以在处理期间保持晶片。载送环可由高阻抗陶瓷所制成,被定位或被配置成使等离子体功率聚集至接地基座上。
在一些实施方案中,在变形例B中所阐述的等离子体处置之后,可在反应室的内部表面上的经等离子体处置的靶外沉积材料上沉积薄膜(例如,少于
Figure BDA0002473785500000132
),如变形例C中所示。另外,变形例C可涉及初始施加在低压下提供的非配料式(例如,没有反应性前体或沉积试剂的流动)氧化等离子体处置。此外,被提供以首先稳固靶外沉积材料的等离子体可由氩气(Ar)或氩气与氧气(O2)的混合物产生,其可从如图1及2中所示的喷头111后方的位置点燃。因此,变形例C可通过以下方式完成:选择以及应用某些处理参数(如先前在图5A中批量中间-1/2栏中所示),以在靶外沉积材料的等离子体处理之后通过ALD而沉积薄膜。这样的ALD处理可涉及短的反应物流动时间,以沉积较薄的膜(例如,少于
Figure BDA0002473785500000131
)。
在变形例C的传统处理程序中,用以在衬底107上进行沉积的化学品(例如反应性物质)可从喷头111流出。用以产生用于变形例C的等离子体的惰性气体通常难以点燃。因此,可提供电功率以在喷头111的面板上将惰性气体点燃。此外,在这样的情况下,将二次清扫(例如,其用于将气体及/或其他物质从反应室中清扫掉)关闭,以使等离子体能在整个处理室中均匀地向外分散。
接着,在如上所述,在使靶外沉积材料暴露于等离子体之后,可在其上沉积薄膜(例如,少于
Figure BDA0002473785500000141
),以使靶外沉积材料固定或固化于适当位置。此类涂层可包含硅氧化物或另一合适的氧化物。此外,在一些实施方案中,可进行额外的沉积后薄膜处理,例如退火或等离子体处理。
图5B所示的变形例D可结合变形例A、B以及C中任一者实施,且变形例D涉及使反应室接地,以使在其中点燃的等离子体最终扩散至反应室的外部区域(例如,朝向侧壁)。按传统,保持衬底(例如图1及2所示的衬底107)的基座或支撑件是接地的,而将物质朝向衬底输送以在其上进行沉积的喷头是被供电的。在此,根据变形例D且与传统配置相反,基座可以是被供电的,而喷头是接地的。例如,可选择性地应用图5B所示的“批量中间-4”的栏中所显示的参数,以导致反应室接地,例如,可在500W-7kW的范围内的功率电平下操作基座。由变形例D所提供的这种配置可辅助瞄准反应室内的区域,以用于等离子体活化、涂布的方向性以及轰击;例如,朝向有靶外材料沉积于其上的反应室侧壁。这样的等离子体在用于处理或处置室侧壁及其他室组件上的靶外沉积材料之后,可接着朝反应室的外部区域扩散。
在成功完成一或更多的变形例A-D及/或变形例A-D的组合(其共同构成在操作412的批量中间反应室处理)之后,在操作414将批量晶片的另一部分置于反应室中,以于操作416在反应室中进行处理。判定操作420判定在操作408及416(用于进行批量晶片的初始部分的处理以及任何另外部分的处理)期间是否已达到反应室批量大小限度。例如,若判定结果为“否”,则处理工作流程400回到操作412以进行额外的批量中间处理及沉积,从而使批量晶片的另外部分能被处理。因此,本领域技术人员应理解,在处理工作流程400中的操作412采用BIAS及批量中间处理导致批量大小扩大,从而使得额外的晶片能在强制性反应室清洁循环之间进行处理。
最后,并且可能在多次执行批量中间反应室处理操作412之后,将在操作420达到总批量累积限度,其中反应室的内部表面会有(或可能超过)沉积于反应室的内部表面上的靶外材料的阈值量,导致在操作420的结果为“是”。因此,处理工作流程400会朝末端操作422前进,在末端操作422中进行室清洁。
如前所述,图5A及5B显示提供多种示例性处理参数数据值的图表,这些处理参数数据值与多种晶片处理及批量中间反应室处理操作相对应。所示的这些值意在表示用于上述的各种BIAS相关处理中的参数,但并非详尽的,且并非限制性的。可根据需要调整处理数值和/或参数以实现特定的晶片处理产能目标。
各种单个的参数竖直地列于图5A及5B两者的“参数”栏中。并且,如前所述,针对进出反应室的前体、反应物和/或惰性清扫物质的体积流率,以sccm为单位提供流量。图5A和5B中所示的步骤时序是如前所述的,例如配料时间等。同样,剩余的温度、功率电平以及任选的后处理设定所包含的参数是如前所述的,例如,对应于下列的一或多者:UCT的涂布、或通过变形例A-D中的任一或多者而进行的批量中间处理。
图5A还显示了适用于批量晶片处理的大致参数设定范围,其列于“处理”栏下方。批量处理可通过使用如前所述的ALD技术以在其上沉积期望厚度的膜而进行,且可涉及在所图示的量及/或组合中的前体及试剂物质的流动。
剩余的UCT、批量中间-1/2、批量中间-3以及批量中间-4的栏分别代表在反应室的处理前时效处理期间的底涂层涂布以及变形例A-D。即,栏头“UCT”表示在内部反应室表面上生成和涂布处理前时效处理底涂层的操作条件或设定。同样,在某些实施方案中,栏头“批量中间-1/2”表示变形例A的设定:栏头“批量中间-3”表示变形例B的设定;并且,栏头“批量中间-4”表示变形例D的设定。变形例C可通过选择性地结合栏头“批量中间-1/2”以及“批量中间-3”中所提供的设定范围来执行。
图6显示用于远程清洁配方的示例性处理参数的另一图表,远程清洁配方可用于在例如处理工作流程400的末端于操作422执行反应室的远程清洁。缩写HP及LP分别表示“高压”及“低压”(且亦反映于图6中所示的其各自的压强范围中)。可使用用于产生等离子体的物质的各种配方,这些等离子体用于清洁。在通过完整的清洁循环从反应室的内部表面成功移除靶外沉积材料之后,可重新启动如处理工作流程300或400所示的BIAS处理,以根据需要处理额外批量的晶片。
设备
图7描绘原子层沉积(ALD)处理站700的实施方案的示意图,该ALD处理站700具有处理室702。处理站700可用于执行某些公开实施方案。例如,虽然处理站700可能通常用于通过原子层沉积(ALD)而在衬底上沉积膜,但处理站700可用于某些配置中以例如执行原子层蚀刻(ALE)或原子层清洁(ALC),以分别对图案化方案中的含碳材料进行蚀刻或清洁。在一些实施方案中,处理站700可用于ALE、ALC以及ALD,或者在一些实施方案中,多站工具中的若干处理站可包含用于ALE或ALC的站以及用于ALD的站,以使衬底可在不破坏真空的情况下于ALC站与ALD站之间传送。
处理室702用于保持低压环境。多个ALD处理站可以包含在通常低压处理工具环境中。例如,图8绘出了多站处理工具800的一实施方案。在一些实施方案中,处理站700的一个或一个以上的硬件参数(包含下文详细讨论的那些)可以由一个或一个以上的计算机控制器750以编程方式调节。
处理站700与反应物输送系统701a流体连通,以将处理气体输送至分配喷头706。反应物输送系统701a包含混合容器704,混合容器704用于混合和/或调节处理气体(例如含氧气体或惰性气体)以输送至喷头706。一个或一个以上的混合容器入口阀720可以对处理气体导入至混合容器704进行控制。
举例而言,图7的实施方案包含汽化点703,汽化点703用于汽化将被供应至混合容器704的液体反应物。在一些实施方案中,可提供沉积化学品以作为经汽化的液体反应物。在处理室702中执行ALE或ALC之后,可使用沉积化学品以形成图案化的含碳材料,使得保形薄膜可通过ALD而沉积于图案化的含碳材料上。在一些实施方案中,汽化点703可以是加热的蒸发器。从这样的蒸发器产生的饱和的反应物蒸气会在下游输送管道凝结。不兼容气体暴露至凝结的反应物会产生小颗粒。这些小颗粒可能阻塞管道、阻碍阀操作、污染衬底等。处理这些问题的一些方法涉及清扫和/或排空输送管道以去除残留反应物。然而,清扫输送管道会增加处理站循环时间,降低处理站吞吐量。因此,在一些实施方案中,汽化点703下游的输送管道可以被热追踪。在一些实施例中,混合容器704也可以被热追踪。在一个非限制性示例中,汽化点703下游的管道具有增大的温度分布,在混合容器704处从约100℃延伸至约150℃。
在一些实施方案中,液体前体或者液体反应物可以在液体喷射器(图7未示出)处汽化。例如,液体喷射器可以将液体反应物的脉冲喷射到混合容器704上游的载体气体流中。在一个实施方案中,液体喷射器可以通过将液体从较高压闪变到较低压来汽化反应物。在另一个示例中,液体喷射器可以将液体雾化为接下来在加热的输送管中汽化的分散的微滴。较小的液滴比较大的液滴可以较快汽化,从而减小了在液体注入和完成汽化之间的延迟。较快的汽化可以减小汽化点703下游的管道长度。在一个方案中,液体喷射器可以直接装载到混合容器704。在另一个方案中,液体喷射器可以直接装载到喷头706。
在一些实施方案中,可以在汽化点703上游设置液体流控制器(LFC)来控制用于汽化并输送至处理室702的液体的质量流量。例如,LFC可以包含位于LFC下游的热质量流量计(MFM)。然后可以响应于由与MFM电通信的比例积分微分(PID)控制器提供的反馈控制信号,来调节LFC的柱塞阀。然而,其可以采取一秒或一秒以上来使用反馈控制以稳定液体流。这可以延长投配液体反应物的时间。因此,在一些实施方案中,LFC可以在反馈控制模式和直接控制模式之间动态切换。在一些实施方案中,这可以通过禁用PID控制器和LFC的感测管道来执行。
喷头706朝衬底712分配处理气体。在图7所示的实施方案中,衬底712位于喷头706下方,并且示出为安置在卡盘或基座708上。可将喷头706定位于350密耳(0.35英寸)至700密耳(0.7英寸)之间的距离处,以达到由喷头706朝向衬底712提供(或散布)的离子方向性的期望程度。在一些实施方案中,喷头706与基座708之间较低的、或较小的间隙可被采用,以保持从喷头706所散布的离子的方向性。然而,在低压条件下(例如低于10mT、或0.01Torr),可能需要较高的、或较大的间隙以实现来自喷头706的离子化等离子体的稳定散布。在一些实施方案中,室可包含多个卡盘或基座。喷头706可以具有任何适当的形状,并可以具有任何适当数量和布置的端口,以将处理气体分配至衬底712。
在一些实施方案中,可将基座708升高或降低以使衬底712暴露于衬底712与喷头706之间的容积空间。在一些实施方案中,基座708可经由加热器710进行温度控制。在执行各种所公开实施方案的操作期间,可将基座708设定至任何适当温度,如介于约25℃至约650℃之间、或介于约35℃至约100℃之间。应理解,在一些实施方案中,可通过合适的计算机控制器750而以编程方式调整基座高度。
在另一情形中,在某些公开实施方案中所执行的等离子体活化期间,调整基座708的高度可使得等离子体密度能改变。例如,可在惰性气体经由喷头706而流至衬底712时点燃等离子体,以在核心材料暴露于含氧气体之后将经改性的核心材料移除。在处理阶段结束后,可于另一衬底传送阶段期间将基座708降低,以使得衬底712能从基座708移除。
在一些实施方案中,喷头706的位置可以相对于基座708调节以改变衬底712与喷头706之间的容积空间。此外,应当理解的是,基座708和/或喷头706的竖直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方案中,基座708可包括用于旋转衬底712的方位的旋转轴线。应该理解的是,在一些实施方案中,这些示例性调节中的一种或多种可以通过一个或多个适当的计算机控制器750以编程方式执行。计算机控制器750可以包括下文参照图7的控制器750描述的特征中的任何特征。
在如上所述可以使用等离子体的一些实施方案中,喷头706和基座708电连接射频(RF)功率源714和匹配网络716来对等离子体提供功率。在一些实施方案中,等离子体的能量可通过控制处理站的压强、气体的浓度、RF源功率、RF源频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,RF功率源714和匹配网络716可在任何合适的功率下进行操作,以形成具有所期望的自由基物质的组分的等离子体。同样,RF功率源714可以提供任何适当频率的RF功率。在一些实施方案中,RF功率源714可以被配置为控制彼此独立的高频RF功率源和低频RF功率源。示例性的低频RF频率可以包括,但不限于,介于0kHz和500kHz之间的频率。示例性的高频RF频率可以包括,但不限于,介于1.8MHz和2.45GHz之间的频率,例如,大于约13.56MHz,或大于27MHz,或大于40MHz,或大于60MHz的频率。应当理解,任何合适的参数可被离散地或连续地调节以提供用于表面反应的等离子体能量。
在一些实施方案中,等离子体可由一个或多个等离子体监控器原位监控。在一种情形中,等离子体功率可通过一个或多个电压、电流传感器(例如,VI探针)进行监控。在另一种情况下,等离子体密度和/或处理气体的浓度可以由一个或多个光发射光谱传感器(OES)来测量。在一些实施方案中,一个或多个等离子体参数可基于来自这样的原位等离子体监控器的测量结果通过编程方式进行调节。例如,OES传感器可用于反馈回路中以提供对等离子体功率的编程式控制。在一些实施方案中,使用某些公开的实施方案,可以使用OES传感器将端点设置为在一定时间后停止蚀刻。应理解的是,在一些实施方案中,可使用其它监控器来监控等离子体和其他处理特性。这样的监控器可包括,但不限于,红外(IR)监控器、声学监控器、以及压强传感器。
在一些实施方案中,可以经由输入/输出控制(IOC)测序指令来提供用于控制器750的指令。在一个示例中,用于设置处理阶段的条件的指令可被包括在处理配方的相应的配方阶段中。在某些情况下,处理配方阶段可按顺序排列,使得用于处理阶段的所有指令与该处理阶段同时执行。在一些实施方案中,用于设定一个或多个反应器参数的指令可以被包括在配方阶段中。例如,第一配方阶段可以包含:针对第一配方阶段用于设置惰性气体和/或反应气体(例如,含氧气体)的流率的指令、用于设置载气(诸如氩)的流率的指令、以及时延指令。接下来的第二配方阶段可以包含:针对第二配方阶段用于调节或者停止惰性气体和/或反应气体的流率的指令、用于调节载体或者清扫气体的流率的指令、以及时延指令。第三配方阶段可包含:针对第三配方阶段调节第二气体(例如氩)的流动速率的指令、调节载气或清扫气体的流率的指令、针对四站处理工具而在介于约250W和约750W之间的低等离子体功率下点燃等离子体的指令、以及时延指令。后续的第四配方阶段可包含:针对第三配方阶段调节或停止惰性气体和/或反应物气体的流率的指令、以及调节载气或清扫气体的流率的指令、以及时延指令。此类配方可用于蚀刻衬底上的含碳材料(如核心材料),以产生以约90°±5°的交点与待蚀刻的底层表面相交的竖直侧壁。额外的配方也可接续进行,并可用于通过ALD而将保形膜沉积于图案化的核心材料上。例如,为了将硅氧化物保形膜沉积于图案化的核心材料上,一额外配方阶段可包含:设定含硅前体的流率的指令,而另一额外配方阶段可包含:针对额外配方阶段设定含氧反应物的流率的指令;以及时延指令。应理解,在本公开的范围内,这些配方阶段可以进一步以任何适当的方式细分和/或重复。
此外,在一些实施方案中,对于处理站700的压强控制可以由蝶形阀718提供。如在图7的实施方案中所示,蝶形阀718对由下游真空泵(图7中未示出)提供的真空进行节流。然而,在一些实施方案中,压强控制处理站700还可以通过改变引入至处理站700的一种或多种气体的流率来调节。
如上所述,一个或一个以上的处理站可以包含在多站处理工具中。图8示出了多站式处理工具800的一个实施方案的概要视图,所述处理工具800具有入站装载锁802和出站装载锁804,两者之一或者该两者可以包含远程等离子体源(图8中未示出)。处于大气压的机械手806被配置为将晶片从通过晶舟808装载的晶片盒经由大气端口810移动至入站装载锁802内。晶片(图8中未示出)由机械手806放置在入站装载锁802中的基座812上,关闭大气端口810,且抽空入站装载锁802。当入站装载锁802包含远程等离子体源时,晶片在被引入处理室814之前,可以暴露于在入站装载锁802中的远程等离子体处置。此外,晶片另外也可以在入站装载锁802中加热,例如以移除湿气和吸附的气体。接下来,通向处理室814的室传输端口816被打开,且另一个机械手(未示出)将晶片放置到反应器中的在反应器中被示出的第一站的基座上以用于处理。尽管在图8中绘出的实施方案包含装载锁,但应该理解的是,在一些实施方案中,可以使晶片直接进入处理站。
绘出的处理室814包含4个处理站,在图8所示的实施方案中编号为1至4。每个站具有加热的基座(对于站1示出为818)和气体管线入口。应该理解的是,在一些实施方案中,每个处理站可以具有不同或者多个用途。例如,在一些实施方案中,处理站可以是可在ALC、ALD与等离子体增强的ALD处理模式之间切换的。在一些实施方案中,暴露于沉积前体以及暴露于第二反应物和等离子体是在同一站中进行的。附加地或替代地,在一些实施方案中,处理室814可以包含一个或多个ALD和等离子体增强的ALD处理站的匹配对。尽管绘出的处理室814包含4个站,但要理解的是,根据本公开所述的处理室可以具有任何适当数量的站。例如,在一些实施方案中,处理室可以具有5个或5个以上的站,而在其它实施方案中,处理室可以具有3个或者更少的站。
图8绘出了用于在处理室814内传输晶片的晶片搬运系统890的一个实施方案。在一些实施方案中,晶片搬运系统890可以在各种处理站之间和/或处理站与装载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片搬运系统。非限制性示例包含晶片转盘和搬运晶片的机械手。图8还绘出了用来控制处理工具800的处理条件和硬件状态的系统控制器850的一实施方案。系统控制器850可以包含一个或多个存储器设备856、一个或多个海量存储设备854和一个或多个处理器852。处理器852可以包含计算机或者CPU、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方案中,系统控制器850控制处理工具800的所有活动。系统控制器850执行存储在海量存储设备854、载入存储器设备856、并由处理器852执行的系统控制软件858。可替代地,控制逻辑可以在控制器850中硬编码。特定应用集成电路、可编程逻辑设备(例如,现场可编程栅极阵列、或者FPGA)等可以用于这些目的。在下面的讨论中,无论使用“软件”还是“代码”,可以使用功能上相当的硬编码的逻辑来取代。系统控制软件858可以包含用于控制时序、气体的混合、气体流率、室和/或站压强、室和/或站温度、晶片温度、目标功率电平、RF功率电平、衬底基座、卡盘和/或承载盘(susceptor)位置、以及由处理工具800执行的特定处理的其它参数的指令。系统控制软件858可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制用于执行各种处理工具处理的处理工具组件的操作。系统控制软件858可以以任何适当的计算机可读编程语言来编码。
在一些实施方案中,系统控制软件858可以包含用于控制上述各种参数的输入/输出控制(IOC)测序指令。在一些实施方案中可以采用与系统控制器850关联的、存储在海量存储设备854和/或存储器设备856的其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、处理气体控制程序、压强控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包含用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座818,并控制处理工具800的其它部分和衬底之间的间隔。
处理气体控制程序可包含用于控制气体组成(例如,本文所述的含硅气体、含氧气体和清扫气体)和流率的代码和任选地用于使气体在沉积之前流到一个或多个处理站中以稳定在处理站中的压强的代码。压强控制程序可以包含用于通过调节例如在处理站的排放系统中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代码。
加热器控制程序可包含用于控制流向用于加热衬底的加热单元的电流的代码。可替代地,加热器控制程序可控制传热气体(如氦气)朝向衬底的传送。
等离子体控制程序可包含用于根据本文的实施方案设置施加到一个或多个处理站内的处理电极的RF功率电平的代码。
压强控制程序可以包含用于根据本文的实施方案保持反应室内的压强的代码。
在一些实施方案中,可以存在与系统控制器850相关联的用户界面。用户界面可以包含显示屏、装置和/或处理条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方案中,由系统控制器850调节的参数会涉及处理条件。非限制性示例包含处理气体组成和流率、温度、压强、等离子体条件(例如,RF偏置功率电平)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器850的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具800的模拟和/或数字输出连接件输出。可被监控的处理工具传感器的非限制性示例包含质量流量控制器、压强传感器(例如压强计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持处理条件。
系统控制器850可以提供用于执行上述沉积处理的程序指令。所述程序指令可以控制多种处理参数,如DC功率电平、RF偏置功率电平、压强、温度等。所述指令可以控制这些参数以根据本发明所描述的多种实施方案操作膜叠层的原位沉积。
系统控制器850将通常包含一个或多个存储器设备和被配置成执行指令的一个或多个处理器以使该装置将执行根据所公开的实施方案所述的方法。包含用于控制根据所公开的实施方案的处理操作的指令的机器可读的介质可以耦合到系统控制器850。
在一些实现方式中,系统控制器850是系统的一部分,该系统可以是上述示例的一部分。这种系统可以包含半导体处理设备,该半导体处理设备包含一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理条件和/或系统的类型,系统控制器850可以被编程以控制本文公开的任何处理,包含控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
广义而言,系统控制器850指接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包含存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到系统控制器850的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,系统控制器850可以是与系统集成、耦合或者说是通过网络连接系统、或它们的组合的计算机的一部分或者与该计算机耦合。例如,系统控制器850可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程、检查过去的制造操作的历史、检查多个制造操作的趋势或性能标准,以改变当前处理的参数、设置处理步骤以跟随当前的处理或者开始新的处理。在一些示例中,远程计算机(例如,服务器)可以通过网络给系统提供处理配方,网络可以包含本地网络或互联网。远程计算机可以包含允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后被从远程计算机传送到系统。在一些示例中,系统控制器850接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的处理类型以及工具类型,系统控制器850被配置成连接或控制该工具类型。因此,如上所述,系统控制器850可以例如通过包含一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的处理和控制)工作。用于这些目的的分布式控制器的一个示例可以是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路,它们结合以控制室内的处理。
示例的系统可以包含但不限于等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、原子层清洁室(ALC)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个处理步骤,系统控制器850可以与一个或多个其它的工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
用于执行本文公开的方法的适当装置在2011年4月11日提交的名称为“等离子体激活的共形膜沉积(PLASMA ACTIVATED CONFORMAL FILM DEPOSITION)”的美国专利申请No.13/084,399(现在的美国专利No.8,728,956);2011年4月11日提交的名称为“氮化硅膜和方法(SILICON NITRIDE FILMS AND METHODS)”的美国专利申请No.13/084,305中进一步讨论并说明,这些专利中的每个整体并入本文。
本文所述的装置/处理可以与光刻图案化工具或处理结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/处理将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包含以下操作中的一些或所有,每个操作启用多个可行的工具:(1)使用旋涂或喷涂工具在工件(即,衬底)上施加光致抗蚀剂;(2)使用热板或加热炉或UV固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或x-射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式工作台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
结论
虽然上述实施方案已经为了清楚理解的目的在一些细节方面进行了描述,但显而易见的是,某些变化和修改方案可在所附权利要求的范围内实施。应当注意的是,具有实施本发明的实施方案的过程、系统和装置的许多替代方式。因此,本发明的实施方案应被视为是说明性的而不是限制性的,并且所述实施方案并不限于本文所给出的细节。

Claims (34)

1.一种增加反应室批量大小的方法,所述方法包含:
(a)在所述反应室内处理批量晶片的一部分,其中所述处理导致至少一些材料靶外沉积在所述反应室的内部表面上;
(b)执行批量中间反应室处理,以稳固累积于所述反应室的内部表面上的所述靶外沉积材料;以及
(c)在所述反应室内处理所述批量晶片的另一部分。
2.根据权利要求1所述的方法,其还包含:
重复(b)至(c)直到所述批量晶片的处理完成为止。
3.根据权利要求1所述的方法,其中所述反应室批量大小为在反应室清洁循环之间能在所述反应室中进行处理的晶片的数量。
4.根据权利要求1所述的方法,其还包含:
在所述反应室中进行批量处理之前,对所述反应室的内部表面进行时效处理。
5.根据权利要求4所述的方法,其中所述对所述反应室的内部表面进行时效处理包括:涂布与用于在(a)或(c)期间沉积于所述批量晶片上的材料相同的材料的涂层。
6.根据权利要求1所述的方法,其中(a)或(c)包括将材料沉积于所述批量晶片中的晶片上。
7.根据权利要求4所述的方法,其中所述时效处理包含:在无晶片存在于所述反应室中时,通过原子层沉积(ALD)将涂层涂布于所述反应室的内部表面上。
8.根据权利要求1所述的方法,其还包含:
(d)在(c)完成之后,清洁所述反应室的内部表面。
9.根据权利要求2所述的方法,其还包含:
(d)在所述批量晶片的处理完成之后,清洁所述反应室的内部表面。
10.根据权利要求1所述的方法,其中在每隔所述批量晶片的总批量累积限度的指定间隔时执行(b)。
11.根据权利要求10所述的方法,其中所述总批量累积限度为所述反应室的内部表面上的累积材料的厚度,超过所述厚度则处理受到损害,使得在进一步进行处理之前需要进行所述反应室的清洁。
12.根据权利要求10所述的方法,其中所述指定间隔是通过经验确定的。
13.根据权利要求10所述的方法,其中所述指定间隔发生于所述反应室的内部表面上的材料累积的有害程度之前,所述反应室的内部表面上的材料累积的有害程度导致所述材料剥离及晶片缺陷和/或颗粒产生。
14.根据权利要求1所述的方法,其中(b)包括沉积膜,所述膜结合于累积在所述反应室的内部表面上的所述材料上。
15.根据权利要求14所述的方法,其中所述膜的可压缩性通过对选自由下列各者所组成的群组中的任一者或多者进行调整而增强:射频(RF)功率电平、反应室压强或RF处理时间。
16.根据权利要求1所述的方法,其中(b)包括:在所述材料累积至指定厚度之后,使累积于所述反应室的所述内部表面上的所述材料暴露于等离子体。
17.根据权利要求16所述的方法,其中在1托至10托的范围内的压强下执行等离子体暴露,以促进等离子体扩散至沉积于所述反应室的所述内部表面上的膜中。
18.根据权利要求16所述的方法,其中在所述反应室内的喷头的面板上点燃所述等离子体。
19.根据权利要求16所述的方法,其还包含:
停用清扫,以使得所述等离子体在整个所述反应室中能均匀地分散。
20.根据权利要求16所述的方法,其中所述等离子体来源于由下列各项所组成的群组中的任一项:氢、氦、氩、或含氮源。
21.根据权利要求16所述的方法,其中暴露于所述等离子体将约
Figure FDA0002473785490000031
的膜沉积于所述反应室的所述内部表面上所累积的所述材料上。
22.根据权利要求21所述的方法,其中所沉积的所述膜使所述反应室的所述内部表面上的所述材料稳固。
23.根据权利要求21所述的方法,其中所述暴露于所述等离子体使所沉积的所述膜致密化,以稳固所述反应室的所述内部表面上的所述材料。
24.根据权利要求15所述的方法,其中所述膜的可压缩性通过选自由下列各项所组成的群组中的方法而增加:施加在2kw-7kw的范围内的射频(RF)功率、施加在2托-10托的范围内的高压、或使用0.2s-10s的RF等离子体时间。
25.根据权利要求15所述的方法,其还包含:
(d)使所述反应室接地。
26.根据权利要求25所述的方法,其中接地的所述反应室促进等离子体扩散至所述反应室的外部。
27.根据权利要求26所述的方法,其中被配置以输送沉积气体至所述批量晶片的喷头是被供电的。
28.根据权利要求26所述的方法,其中被配置以支撑所述批量晶片的基座是被供电的。
29.根据权利要求9所述的方法,其中用于执行(d)的等离子体由远程等离子体清洁单元供应。
30.根据权利要求29所述的方法,其中所述远程等离子体清洁单元安装在所述反应室上。
31.根据权利要求16所述的方法,其中所述等离子体具有400kHz的频率。
32.一种用于处理衬底的等离子体处理设备,所述设备包含:
反应室,其包含:
内部室表面,
衬底支撑件,其用于支撑在所述反应室内的衬底,以及
排放端口,其用于将材料从所述反应室中移除;
远程等离子体室,其包含:
等离子体产生器,其用于在所述远程等离子体室内产生等离子体,
入口,其用于将气体输送至所述远程等离子体室,
出口,其用于将在所述远程等离子体室中产生的等离子体提供至所述反应室:以及
控制器,其被配置成执行用于以下操作的指令:
(a)在所述反应室内处理批量晶片的一部分,其中所述处理导致至少一些材料靶外沉积在所述反应室的内部表面上;
(b)执行批量中间反应室处理,以稳固累积于所述反应室的内部表面上的靶外沉积材料;以及
(c)在所述反应室内处理所述批量晶片的另一部分。
33.根据权利要求32所述的等离子体处理设备,其中所述等离子体处理设备远离所述反应室。
34.根据权利要求32所述的等离子体处理设备,其中所述控制器被进一步配置成执行用于以下操作的指令:
(d)在(c)完成之后,清洁所述反应室的所述内部表面。
CN201880070970.5A 2017-10-31 2018-10-30 增加反应器处理批量大小的方法和设备 Pending CN111295734A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/799,679 2017-10-31
US15/799,679 US10431451B2 (en) 2014-08-22 2017-10-31 Methods and apparatuses for increasing reactor processing batch size
PCT/US2018/058164 WO2019089555A1 (en) 2017-10-31 2018-10-30 Methods and apparatuses for increasing reactor processing batch size

Publications (1)

Publication Number Publication Date
CN111295734A true CN111295734A (zh) 2020-06-16

Family

ID=66333366

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880070970.5A Pending CN111295734A (zh) 2017-10-31 2018-10-30 增加反应器处理批量大小的方法和设备

Country Status (6)

Country Link
JP (1) JP7290634B2 (zh)
KR (1) KR20200067218A (zh)
CN (1) CN111295734A (zh)
SG (1) SG11202003372RA (zh)
TW (1) TWI794318B (zh)
WO (1) WO2019089555A1 (zh)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8999847B2 (en) 2010-08-16 2015-04-07 Applied Materials, Inc. a-Si seasoning effect to improve SiN run-to-run uniformity
GB201218697D0 (en) 2012-10-18 2012-11-28 Spts Technologies Ltd A method of depositing an amorphous silicon film
US9881788B2 (en) * 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US20160056032A1 (en) * 2014-08-22 2016-02-25 Lam Research Corporation Methods and apparatuses for stable deposition rate control in low temperature ald systems by showerhead active heating and/or pedestal cooling
US20170029948A1 (en) * 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US11421321B2 (en) * 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US20170133202A1 (en) * 2015-11-09 2017-05-11 Lam Research Corporation Computer addressable plasma density modification for etch and deposition processes

Also Published As

Publication number Publication date
KR20200067218A (ko) 2020-06-11
JP7290634B2 (ja) 2023-06-13
SG11202003372RA (en) 2020-05-28
WO2019089555A1 (en) 2019-05-09
TWI794318B (zh) 2023-03-01
TW201930636A (zh) 2019-08-01
JP2021501466A (ja) 2021-01-14

Similar Documents

Publication Publication Date Title
US10629429B2 (en) Selective deposition of silicon oxide
KR102612832B1 (ko) 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치
CN111247269B (zh) 介电膜的几何选择性沉积
US10832909B2 (en) Atomic layer etch, reactive precursors and energetic sources for patterning applications
JP7171165B2 (ja) 膜プロフィール調整のためのシャワーヘッドカーテンガス方法及びシャワーヘッドガスカーテンシステム
CN109913852B (zh) 抑制喷头背面寄生等离子体的方法和装置
TWI643974B (zh) 氣相沉積膜中用以減輕瑕疵狀態之方法及設備
KR102218085B1 (ko) 갭충진을 위한 컨포멀 막 증착
WO2019055296A1 (en) THICKNESS COMPENSATION BY MODULATING THE NUMBER OF DEPOSITION CYCLES AS A FUNCTION OF ACCUMULATION IN A CHAMBER ENABLING WAFER TRENCH FILM THICKNESS MATCHING
US10431451B2 (en) Methods and apparatuses for increasing reactor processing batch size
US20210340670A1 (en) In situ protective coating of chamber components for semiconductor processing
US20240038539A1 (en) Selective processing with etch residue-based inhibitors
US20210395885A1 (en) Throughput improvement with interval conditioning purging
TWI794318B (zh) 增加反應器處理批量大小的方法和設備
JP2023501371A (ja) 高周波電力増加によるプラズマ強化原子層堆積

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination