CN1971901B - 半导体器件及其制造方法 - Google Patents

半导体器件及其制造方法 Download PDF

Info

Publication number
CN1971901B
CN1971901B CN2006101457791A CN200610145779A CN1971901B CN 1971901 B CN1971901 B CN 1971901B CN 2006101457791 A CN2006101457791 A CN 2006101457791A CN 200610145779 A CN200610145779 A CN 200610145779A CN 1971901 B CN1971901 B CN 1971901B
Authority
CN
China
Prior art keywords
film
manganese
copper
conductor
dielectric film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2006101457791A
Other languages
English (en)
Other versions
CN1971901A (zh
Inventor
荒川伸一
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Publication of CN1971901A publication Critical patent/CN1971901A/zh
Application granted granted Critical
Publication of CN1971901B publication Critical patent/CN1971901B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明公开了一种半导体器件,其包括:其中具有凹槽的绝缘膜;形成在凹槽内部的电导体;形成在导体的上表面上的硅酸锰膜,该硅酸锰膜是由锰与二氧化硅绝缘膜的反应产物形成的。还公开了一种用于制造这样的半导体器件的方法。

Description

半导体器件及其制造方法
技术领域
本发明涉及允许很容易地减小线间电容(interwiring capacitance)的半导体器件,并且涉及用于制造该半导体器件的方法。
背景技术
在如图20所示的铜(Cu)导线的镶嵌(damascene)结构中,为了防止铜扩散到氧化膜211中,在形成在氧化膜211中的导线凹槽(wiringrecess)212的内表面上形成了阻挡膜(barrier film)213。一般来说,对于形成在导线凹槽212的侧壁和底部的阻挡膜213,经常使用诸如钽(Ta)、氮化钽(TaN)、氮化钛(TiN)、氮化钨(WN)之类的金属材料,而对于穿过阻挡膜213形成在导线凹槽212内部的铜导线214的上表面之上的绝缘膜215,则经常使用硅绝缘膜材料,包括用于化学气相淀积的材料,例如氮化硅(SiN)、碳氮化硅(SiCN)、碳化硅(SiC)、SiCON之类的材料。
作为形成在铜导线214的上表面上的阻挡膜215,必要的属性除包括用于抑制铜扩散的阻挡属性之外,还包括阻挡膜215本身的介电常数、阻挡膜与其交界面之间的粘着力以及阻挡膜215的处理灵活性,这些都被认为是确立健壮工艺的重要参数。尤其是对于65nm一代及之后的器件,对电路的工作速度的影响很大程度上都是由布线单元造成的。铜导线214的上表面上的阻挡膜需要具有如上所述的特性,同时还要降低介电常数。
对于抑制铜扩散的阻挡绝缘膜,在满足65nm一代及之后的器件的介电常数要求的同时,满足如上所述的特性(例如用于抑制铜扩散的阻挡属性、阻挡膜与其交界面之间的粘着力、膜应力以及处理灵活性之类的)遇到了困难。阻挡绝缘膜的介电常数与各种特性之间的关系的实例在文献(例如,Z.C.Wu,T.J.Chou,S.H.Lin,Y.L.Huang,C.H.Lin,L.P.Li,B.T.Chen,Y.C.Lu,C.C.Chiang,M.C.Chen,W.Chang,S.M.Jang和M.S.Liang,“High Performance 90/65nm BEOL Technology with CVDPorous Low-K Dielectrics(K~2.5)and Low-K Etching Stop(K~3.0)”,International Electron Device Meeting Tech.Dig.,December 2003,p.849-852,2003)中有所介绍,并且阻挡绝缘膜的介电常数和各种特性之间是折衷的关系。
已知一种通过所谓的自形成(self-forming)来形成针对铜的阻挡绝缘膜的技术是使用铜锰(CuMn)合金种子层作为针对铜的阻挡绝缘膜(例如参见T.Usui,H.Nasu,J.Koike,M.Wada,S.Takahashi,N.Shimizu,T.Nishikawa,M.Yoshimura和H.Shibata,“Low resistive and HighlyReliable Cu Dual-Damascene Interconnect Technology Using Self-FormedMnSixOy Barrier Layer”,International Interconnect Technology Conference2005,p.188-190,2005)。
发明内容
要解决的一个问题在于减小线间电容的困难性,其原因是用作导线上表面上的阻挡绝缘膜材料的材料的介电常数较高。
因此,本发明的一个实施例提供了一种半导体器件,其中线间电容可得以减小,而无需在导线上表面上形成具有高介电常数的阻挡绝缘膜,还提供了一种用于制造这样的半导体器件的方法。
根据本发明的一个实施例的半导体器件包括:其中具有凹槽的绝缘膜;形成在凹槽内部的电导体;形成在导体的上表面上的硅酸锰膜,该硅酸锰膜是由导体中的锰与绝缘膜上的二氧化硅绝缘膜的反应产物形成的。
在本发明的一个实施例的半导体器件中,通过与形成在电导体上的二氧化硅绝缘膜发生反应而形成的硅酸锰膜被形成在导体的上表面上,因此不必形成传统上采用的基于硅的阻挡绝缘膜。硅酸锰膜被选择性地只形成在导体的上表面上,而不形成在绝缘膜上。
根据本发明的用于制造半导体器件的方法包括以下步骤:提供绝缘膜;在绝缘膜中形成凹槽;在凹槽内形成由含锰铜膜制成的电导体;至少在所述绝缘膜上形成二氧化硅绝缘膜以覆盖导体;并且通过存在于导体中的锰与二氧化硅绝缘膜之间的反应在导体的上表面上形成硅酸锰层。
在根据本发明的一个实施例的半导体器件制造方法中,由于通过与至少形成在导体上且最好完全形成在导体上的二氧化硅绝缘膜发生反应而形成的硅酸锰膜被形成在导体的上表面上,因此不必形成传统的具有高介电常数的基于硅的阻挡绝缘膜。硅酸锰膜被选择性地只形成在导体层的上表面上,而不形成在绝缘膜上。
本发明一个实施例的半导体器件的有利之处在于硅酸锰膜被选择性地形成在导体的上表面上,而不形成在绝缘膜上,因此线间电容有可能得以减小。硅酸锰膜选择性地只形成在导体的上表面上而不形成在绝缘膜上的有利之处在于对铜的迁移抵抗力得以增强,因而针对电迁移、应力迁移之类的布线可靠性得以提高。
此外,本发明一个实施例的半导体器件制造方法的有利之处在于硅酸锰膜被选择性地形成在导体的上表面上,而不形成在绝缘膜上,因此线间电容有可能得以减小。硅酸锰膜选择性地只形成在导体的上表面上而不形成在绝缘膜上的有利之处在于对铜的迁移抵抗力得以增强,因而针对电迁移、应力迁移之类的布线可靠性得以提高。
附图说明
图1是示出根据本发明第一实施例的半导体器件的示意性剖面图;
图2是示出根据本发明第二实施例的半导体器件的示意性剖面图;
图3是示出根据本发明第三实施例的半导体器件的示意性剖面图;
图4A至4C是示出根据本发明第四实施例的用于制造半导体器件的方法的示意性剖面图;
图5A至5D是示出根据本发明第五实施例的用于制造半导体器件的方法的示意性剖面图;
图6A和6B是示出根据本发明第五实施例的用于制造半导体器件的方法的示意性剖面图;
图7A至7C是示出根据本发明第六实施例的用于制造半导体器件的方法的示意性剖面图;
图8是示出根据本发明第六实施例的用于制造半导体器件的方法的示意性剖面图;
图9A至9C是示出根据本发明第七实施例的用于制造半导体器件的方法的示意性剖面图;
图10A至10C是示出根据本发明第八实施例的用于制造半导体器件的方法的示意性剖面图;
图11A至11C是示出根据本发明第九实施例的用于制造半导体器件的方法的示意性剖面图;
图12A至12D是示出根据本发明第十实施例的用于制造半导体器件的方法的示意性剖面图;
图13A和13B是示出根据本发明第十实施例的用于制造半导体器件的方法的示意性剖面图;
图14A和14B是示出根据本发明第十实施例的用于制造半导体器件的方法的示意性剖面图;
图15A和15B是示出根据本发明第十实施例的用于制造半导体器件的方法的示意性剖面图;
图16A至16D是示出根据本发明第十一实施例的用于制造半导体器件的方法的示意性剖面图;
图17A和17B是示出根据本发明第十一实施例的用于制造半导体器件的方法的示意性剖面图;
图18A和18B是示出根据本发明第十一实施例的用于制造半导体器件的方法的示意性剖面图;
图19是示出根据本发明第十一实施例的用于制造半导体器件的方法的示意性剖面图;以及
图20是示出根据现有技术的半导体器件的实例的示意性剖面图。
具体实施方式
参考图1说明根据本发明的第一实施例的半导体器件的实例,图1是该半导体器件的示意性剖面图。
如图1所示,在绝缘膜11中形成了凹槽12。凹槽12例如是导线凹槽,或者连接上层导线和下层导线的连接孔,或者形成在导线凹槽处以及导线凹槽底部的连接孔。导线凹槽在这里被示为凹槽12的实例。电导体(例如导线)14经由阻挡层13形成在凹槽12内。阻挡膜13例如是由钽(Ta)膜形成的。导体14是由铜材料形成的,例如铜或主要由铜制成的铜合金,其能够包含锰。二氧化硅绝缘膜21形成在绝缘膜11上,以覆盖导体14。导体14的上表面形成了硅酸锰(MnSixOy)膜22,所述硅酸锰膜22是通过存在于导体14中的锰(Mn)和二氧化硅绝缘膜21的硅(Si)和氧(O)之间的反应形成的。通式中的x和y是在考虑到针对铜的阻挡属性的情况下适当确定的。
绝缘膜11可由二氧化硅绝缘膜形成,而阻挡膜13可由硅酸锰(MnSixOy)膜形成。在这种情况下,x和y是在考虑到针对铜的阻挡属性的情况下适当确定的。例如,当绝缘膜11由二氧化硅绝缘膜形成时,该硅酸锰膜是通过例如在在凹槽12内形成导体14之前形成在凹槽12的内表面上的铜锰合金层(未示出)的锰(Mn)与二氧化硅绝缘膜的硅(Si)和氧(O)之间的反应形成的。
这样,在阻挡膜13由硅酸锰膜制成的情况下,阻挡膜13和硅酸锰膜22可由同类材料形成,以硅酸锰膜的形式毗邻连接。这允许了导体14被阻挡膜13和硅酸锰膜22覆盖或包封。
在半导体器件1具有如上所述的这种布置的情况下,由于通过与二氧化硅绝缘膜21反应而形成的硅酸锰膜22被形成在导体14的上表面上,因此不需要形成传统的基于硅的阻挡绝缘膜,随之而来的优点是线间电容得以减小。此外,硅酸锰膜22选择性地只形成在导体14的上表面上,而不形成在绝缘膜11上,从而允许了线间电容能够方便地得以减小。在由硅酸锰膜制成的阻挡膜13被形成在凹槽12的内表面和导体14之间的边界处的情况下,导体14完全被由硅酸锰膜制成的阻挡膜13和硅酸锰膜22所覆盖,其优点是对铜的迁移电阻得以增强,并且针对电迁移、应力迁移之类的布线可靠性得以提高。
接下来,参考图2说明根据本发明第二实施例的半导体器件,图2是该器件的示意性剖面图。在第二实施例中,凹槽12由导线凹槽和连接孔构成,并且在导线上形成了硅酸锰膜。
如图2所示,例如由二氧化硅绝缘膜制成的第一绝缘膜31被形成在半导体衬底(未示出)上。该第一绝缘膜31例如是由厚度为200nm、介电常数为3或更低的无机氧化物膜形成的,所述无机氧化物膜例如是MSQ(甲基矽氧烷,methyl silsequioxane)膜。第一绝缘膜31之中形成了例如厚度为150nm的凹槽32(以下称为导线凹槽)。导线凹槽32内部具有经由阻挡膜33的由铜膜制成的导体34(以下称为第一导线),所述阻挡膜33由硅酸锰(MnSixOy)膜制成。
具有较高的针对铜的阻挡属性的薄的硅酸锰(MnSixOy)膜35通过扩散在第一导线34中的锰(Mn)与存在于形成在第一导线34的上表面上的二氧化硅绝缘膜(未示出)中的硅(Si)和氧(O)之间的反应而形成在第一导线34的上表面上。更具体而言,硅酸锰膜35只选择性地形成在充当铜导线的第一导线34上。将会注意到,二氧化硅绝缘膜被去除了。
阻挡膜36被形成在第一绝缘膜31上,以覆盖第一导线34。该阻挡膜36例如是由厚度例如为30nm的碳氮化硅(SiCN)膜形成的。第二绝缘膜41被形成在阻挡膜36上。第二绝缘膜41是通过淀积例如厚度为250nm的MSQ(甲基矽氧烷)形成的。
穿过阻挡膜36到达第一导线34的通孔42被形成在第二绝缘膜41中。此外,导线凹槽43被形成在通孔42上方的第二绝缘膜41中。更具体而言,通孔42被形成在导线凹槽43底部。在导线凹槽43和通孔42内,由导体制成的第二导线45和连接插头46分别经由阻挡膜44形成,所述阻挡膜44由具有较高的针对铜的阻挡属性的硅酸锰(MnSixOy)膜制成,所述硅酸锰膜是通过存在于种子膜(未示出)中的锰(Mn)与由二氧化硅绝缘膜制成的第二绝缘膜41中的硅(Si)和氧(O)之间的反应形成的。阻挡膜44是通过所谓的自形成而形成在导线凹槽43的侧壁和底部以及通孔42的侧壁的。
由二氧化硅绝缘膜制成的第三绝缘膜51被形成在第二绝缘膜41上,以覆盖第二导线45。该二氧化硅绝缘膜例如是通过淀积厚度为250nm的MSQ(甲基矽氧烷)而形成的。
此外,具有较高的针对铜的阻挡属性的硅酸锰(MnSixOy)薄膜47被形成在第二导线45和第三绝缘膜51之间,该薄膜是通过扩散在第二导线45中的锰与存在于由二氧化硅绝缘膜制成的第三绝缘膜51中的硅(S)和氧(O)之间的反应而形成的。
在半导体器件7具有如上所述的布置的情况下,由于通过与二氧化硅绝缘膜反应而形成的硅酸锰膜35、47分别处于第一导线34和第二导线45上,因此不需要形成传统的基于硅的阻挡绝缘膜,其优点是线间电容可得以减小。此外,由于硅酸锰膜35、47只是选择性地形成在第一导线34和第二导线45的上表面上,而没有形成在第一绝缘膜31和第二绝缘膜41上,因此线间电容可有利地得以减小。由于第一导线34被包封以阻挡膜33和硅酸锰膜35,并且第二导线45和连接插头46分别被包封以阻挡膜44和硅酸锰膜47,因此对铜的迁移电阻得以增强,并且针对电迁移、应力迁移之类的布线可靠性得以提高。
接下来,参考图3说明根据本发明第三实施例的半导体器件,图3是该器件的示意性剖面图。该实施例的器件是这样一个器件,其中凹槽13由导线凹槽和连接孔构成,并且在导线和连接插头上都形成了硅酸锰膜。
如图3所示,例如由二氧化硅绝缘膜制成的第一绝缘膜31被形成在半导体衬底(未示出)上。该第一绝缘膜31例如是由厚度为200nm、介电常数为3或更低的无机氧化物膜形成的,所述无机氧化物膜例如是MSQ(甲基矽氧烷)膜。第一绝缘膜31之中形成了例如深度为150nm的凹槽32(以下称为导线凹槽)。由基于铜的膜制成的电导体34(以下称为第一导线)经由阻挡膜33被形成在导线凹槽32内部,所述阻挡膜33由硅酸锰(MnSixOy)膜制成。
具有较高的针对铜的阻挡属性的硅酸锰(MnSixOy)膜35被形成在第一导线34的上表面上,该硅酸锰膜35是通过扩散在第一导线34中的锰(Mn)与存在于形成在第一导线34的上表面上并由二氧化硅绝缘膜制成的第二绝缘膜41中的硅(Si)和氧(O)之间的反应而形成的。更具体而言,硅酸锰膜35选择性地形成在第一导线34上,该第一导线34是基于铜的导线。
第二绝缘膜41被形成在第一绝缘膜31上,以覆盖第一导线34。该二氧化硅绝缘膜是通过淀积例如厚度为100nm的MSQ(甲基矽氧烷)形成的。
第二绝缘膜41中形成了到达第一导线34的凹槽42(以下称为通孔)。由基于铜的膜制成的电导体46(以下称为连接插头)经由阻挡膜44而形成在通孔42内部,该阻挡膜44由硅酸锰(MnSixOy)膜制成。
硅酸锰薄膜47被形成在连接插头46的上表面上,该硅酸锰膜47是通过扩散在连接插头46中的锰(Mn)与存在于形成在连接插头46的上表面上并由二氧化硅绝缘膜制成的第三绝缘膜51中的硅(Si)和氧(O)之间的反应而形成的。更具体而言,硅酸锰膜47选择性地形成在连接插头46上。
第三绝缘膜51被形成在第二绝缘膜41上,以覆盖连接插头46。该二氧化硅绝缘膜例如是通过淀积厚度为150nm的MSQ(甲基矽氧烷)形成的。
第三绝缘膜51中形成了凹槽52(以下称为导线凹槽)。导体54(以下称为第二导线)经由阻挡膜53而形成在导线凹槽52内部,该阻挡膜53由硅酸锰(MnSixOy)膜制成。
具有较高的针对铜的阻挡属性的硅酸锰(MnSixOy)膜55被形成在第二导线54的上表面上,该硅酸锰膜55是通过扩散在第二导线54中的锰(Mn)与存在于形成在第二导线54的上表面上并由二氧化硅绝缘膜制成的第四绝缘膜61中的硅(Si)和氧(O)之间的反应而形成的。更具体而言,硅酸锰膜55选择性地形成在第二导线54上,该第二导线54是基于铜的导线。
在半导体器件8具有如上所述的布置的情况下,由于通过与二氧化硅绝缘膜反应而形成的硅酸锰膜35、55分别被形成在第一导线34和第二导线54的上表面上,因此不需要形成传统的基于硅的阻挡绝缘膜,其优点是线间电容可得以减小。此外,由于硅酸锰膜35、55被选择性地形成在第一导线34和第二导线54的上表面上,而没有形成在第一绝缘膜31和第三绝缘膜51上,因此允许了线间电容被有利地减小。此外,第一导线34被包封以阻挡膜33和硅酸锰膜47,连接插头46被包封以阻挡膜44和硅酸锰膜47,并且第二导线54被包封以阻挡膜53和硅酸锰膜55,从而对铜的迁移电阻得以增强,并且针对电迁移、应力迁移之类的布线可靠性得以提高。
接下来,参考图4A至4C说明根据本发明第四实施例的用于制造半导体器件的方法。
如图4A所示,凹槽12被形成在绝缘膜11中。该绝缘膜11例如是由二氧化硅绝缘膜形成的。凹槽12例如是导线凹槽或连接上层导线和下层导线的连接孔,或者可以是形成在导线凹槽处和导线凹槽底部的连接孔。例如,在这里凹槽被示为导线凹槽。
通过利用普通抗蚀剂涂覆和光刻技术形成抗蚀剂掩膜(未示出)并利用抗蚀剂掩膜进行干法蚀刻,凹槽12被形成在绝缘膜11中。然后,抗蚀剂掩膜被去除。
接下来,铜锰合金层被形成在凹槽12的内表面上,之后被掩埋以铜膜并被热处理,以在绝缘膜11和铜膜之间形成阻挡膜13。绝缘膜11上的过量的铜膜和阻挡膜13被去除,以在凹槽12内部、穿过由硅酸锰膜制成的阻挡膜13形成由铜膜制成的导体14(例如导线)。在这个阶段,过量的锰扩散到铜膜中。
接下来,如图4B所示,二氧化硅绝缘膜21被形成在绝缘膜11上,以覆盖导体14。该二氧化硅绝缘膜21可以是其主要成分例如是二氧化硅(SiO2)的绝缘膜。例如,这里使用了MSQ(甲基矽氧烷)。
然后,如图4C所示,导体14中的锰和二氧化硅绝缘膜中的硅(Si)和氧(O)通过热处理而起反应,以在绝缘膜11和导体14之间的交界面处形成硅酸锰(MnSixOy)膜22。通式中的x和y是在考虑到针对铜的阻挡属性的情况下适当确定的。
由硅酸锰膜制成的阻挡膜13和硅酸锰膜22被形成为彼此毗邻连接。从而,导体14处于被由硅酸锰膜制成的阻挡膜13和硅酸锰膜22包封的状态中。
在该半导体器件制造方法中,形成了硅酸锰膜22,该硅酸锰膜22是通过与形成在导体14上的二氧化硅绝缘膜21反应形成的,因此不必形成传统的具有高介电常数的基于硅的阻挡绝缘膜。硅酸锰膜22被选择性地形成在导体的上表面上,而没有形成在绝缘膜11上,从而产生了线间电容可得以减小这一优点。硅酸锰膜22只是选择性地形成在导体14的上表面上而没有形成在绝缘膜11上使得导体14能够被包封以由硅酸锰膜制成的阻挡膜13和硅酸锰膜22。从而,对铜的迁移电阻得以增强,并且针对电迁移、应力迁移之类的布线可靠性得以提高。
接下来,参考图5A至6B描述根据本发明第五实施例的用于制造半导体器件的方法,图5A至6B分别是示出制造步骤的示意性剖面图。
如图5A所示,绝缘膜11被形成在半导体衬底(未示出)上。该绝缘膜11是由介电常数为3或更低的无机氧化物膜形成的,所述无机氧化物膜例如是MSQ(甲基矽氧烷)膜。厚度例如为200nm。有机抗反射膜71(BARC:底部抗反射涂层)被形成在绝缘膜上,并且,例如利用化学放大ArF抗蚀剂形成了用于形成凹槽12(例如导线凹槽)的蚀刻掩膜72。该蚀刻掩模72例如被形成为具有60nm线宽的凹槽图样73。
接下来,如图5B所示,利用蚀刻掩模72(见图5A),绝缘膜11被处理,以形成凹槽(导线凹槽)12,作为凹槽图样73的延伸(见图5B)。为了进行蚀刻,例如使用了基于氟化碳(CF)的蚀刻气体,以形成深度例如为150nm的凹槽12。然后,蚀刻之后留下的蚀刻掩模72和有机抗反射膜71例如通过氧(O2)气灰化被剥除。
接下来,如图5C所示,阻挡金属膜74被形成在凹槽12的内表面和绝缘膜11的表面上。该阻挡金属膜74例如是由钽(Ta)制成的,并且其厚度例如是5nm。种子膜75被进一步形成。种子膜75例如是由包含2wt%的锰(Mn)的铜锰(CuMn)合金膜制成的,并且被形成为具有例如40nm的厚度。这些阻挡金属膜74和种子膜75分别可通过薄膜形成技术形成,所述薄膜形成技术例如是溅镀法、原子层淀积技术之类的技术。此外,通过电解电镀(ECP)方法或CVD方法,形成了基于铜的膜76,以掩埋凹槽12。这里形成了铜膜。
然后,如图5D所示,通过化学机械磨光(CMP)方法,将过量的基于铜的膜76(见图5C)、种子膜75、阻挡金属膜74之类的膜从绝缘膜11的表面上磨除,以暴露绝缘膜11的表面,并且在凹槽12内经由阻挡金属膜74和种子膜75形成由铜膜76制成的导体(例如导线)14。
接下来,如图6A所示,二氧化硅绝缘膜21被形成在绝缘膜11上,以覆盖铜膜76。该二氧化硅绝缘膜21是通过淀积例如厚度为250 nm的MSQ(甲基矽氧烷)形成的。
然后,如图6B所示,进行热处理。该热处理例如在300℃的加热温度下被执行60分钟。根据该热处理,存在于种子膜75(见图5D)中的锰(Mn)扩散到铜膜76(见图5D)的表面部分。该锰(Mn)与二氧化硅绝缘膜21上的硅(Si)和氧(O)反应,以形成薄膜形式的具有较高的针对铜的阻挡属性的硅酸锰(MnSixOy)层。换言之,具有良好的针对铜的阻挡属性的硅酸锰膜22被选择性地形成在由铜膜76制成的导体14上。将会注意到,由于二氧化硅绝缘膜21的CVD膜形成中所涉及的热预算,上面所述的用于形成作为自形成的阻挡膜的硅酸锰膜的热处理并非总是必要的,但是为了增强硅酸锰膜的形成效率却是优选的。存在于种子膜75中的锰在形成硅酸锰膜22时被使用,因而种子膜75变成铜膜,产生了导体14的一部分。因此,导体14经由阻挡金属膜74被形成在凹槽12内部,并且硅酸锰膜22被形成在导体14的上表面上。
在该半导体器件制造方法中,不需要形成现有技术中形成在导线上并且介电常数约为5的碳氮化硅(SiCN)绝缘膜。因此,与现有技术相比,电容可减小10%。此外,由于导体14与硅酸锰膜22之间的交界面粘着力得以提高,因此对应力迁移(SM)、电迁移(EM)之类的抵抗力得以提高,从而提高了布线可靠性。
在该半导体器件制造方法中,说明了一种所谓的单镶嵌结构,而本发明的方法同样也可适用于双镶嵌结构。对于构成导体14的材料,不仅可以使用由铜、铜合金之类形成的铜膜,也可以使用诸如银(Ag)、金(Au)、铝(Al)之类的金属。
现在参考图7A至8描述根据本发明第六实施例的用于制造半导体器件的方法,图7A至8分别是示出制造步骤的示意性剖面图。
如图7A所示,与参考图5A和5B说明的第五实施例类似,二氧化硅绝缘膜被形成在半导体衬底(未示出)上,作为绝缘膜11。该绝缘膜11是由介电常数为3或更低的无机氧化物膜形成的,所述无机氧化物膜例如是MSQ(甲基矽氧烷)膜。厚度例如为200nm。此外,通过蚀刻,凹槽12(例如导线凹槽)被形成在绝缘膜11中。为了进行蚀刻,例如使用了氟化碳(CF)蚀刻气体,并形成深度例如为150nm的凹槽12。
接下来,种子膜75被形成在凹槽12的内表面和绝缘膜11的表面上。种子膜75例如是由厚度例如为40nm的铜锰(CuMn)合金膜制成的。种子膜75可通过薄膜形成技术形成,所述薄膜形成技术例如是溅镀法、原子层淀积法之类的技术。此外,通过电解电镀(ECP)方法或CVD方法,形成了基于铜的膜76,以掩埋凹槽12。这里形成了铜膜。
接下来,进行热处理。该热处理例如在300℃的加热温度下被执行60分钟。该热处理促进了基于铜的膜76中铜的晶粒生长,并且使得种子膜75中的锰(Mn)与存在于由二氧化硅绝缘膜制成的绝缘膜11中的硅(Si)和氧(O)之间发生反应,从而形成了由硅酸锰(MnSixOy)薄膜制成的具有较高的针对铜的阻挡属性的阻挡膜13。这样,通过所谓的自形成,阻挡膜13被形成在凹槽12的侧壁和底面上。
接下来,如图7B所示,通过化学机械磨光(CMP)方法,分别在绝缘膜11的表面上过量的基于铜的膜76(见图7A)、阻挡膜13(见图7A)和种子膜75(见图7A)的未反应部分被磨光,以暴露绝缘膜11的表面,并经由由硅酸锰制成的阻挡膜13在凹槽12内部形成由基于铜的膜76制成的导体14(导线)。为了形成硅酸锰膜,存在于由铜锰合金制成的种子膜75中的锰未被完全消耗,从而锰被留在其中。该锰扩散到导体14中。
接下来,如图7C所示,二氧化硅绝缘膜21被形成在绝缘膜11上,以覆盖导体14。二氧化硅绝缘膜21例如是通过淀积厚度为250nm的MSQ(甲基矽氧烷)形成的。
接下来,如图8所示,进行热处理。该热处理例如在300℃的加热温度下被执行60分钟。该热处理允许了扩散在导体14中的锰(Mn)进一步向上扩散到导体14的表面部分,并且该锰(Mn)与存在于二氧化硅绝缘膜21中的硅(Si)和氧(O)发生反应,从而形成了具有较高的针对铜的阻挡属性的硅酸锰(MnSixOy)薄膜22。更具体而言,硅酸锰膜22被选择性地形成在充当铜导线的导体14上。将会注意到,由于二氧化硅绝缘膜21的CVD膜形成中所涉及的热预算,上面所述的用于形成作为自形成的阻挡膜的硅酸锰膜的热处理并非总是必要的,但是为了增强硅酸锰膜22的形成效率却是优选的。
在该半导体器件制造方法中,与关于制造方法的第五实施例类似,不需要形成现有技术中形成在导线上并且介电常数约为5的碳氮化硅(SiCN)绝缘膜,从而与现有技术的情形相比电容可得以减小。此外,由于导体14与硅酸锰膜22之间的交界面粘着力得以提高,因此对应力迁移(SM)、电迁移(EM)之类的抵抗力得以提高,从而提高了布线可靠性。
在该半导体器件制造方法中,说明了所谓的单镶嵌结构,而本发明的方法同样也可适用于双镶嵌结构。对于构成导体14的材料,不仅可以使用由铜、铜合金之类形成的铜膜,也可以使用诸如银(Ag)、金(Au)、铝(Al)之类的金属。
接下来,参考图9A至9C说明根据本发明第七实施例的用于制造半导体器件的方法,图9A至9C分别是示出制造步骤的示意性剖面图。
如图9A所示,以与参考图5A和5B说明的第五实施例中相同的方式,由二氧化硅绝缘膜制成的绝缘膜11被形成在半导体衬底(未示出)上。该绝缘膜例如是通过化学气相淀积(CVD)方法由介电常数为3或更低的无机氧化物膜形成的,所述无机氧化物膜例如是MSQ(甲基矽氧烷)膜。厚度例如为200nm。绝缘膜11被蚀刻以形成凹槽12(例如导线凹槽)。为了进行蚀刻,例如使用了氟化碳(CF)蚀刻气体,并形成深度例如为150nm的凹槽12。
接下来,第一种子膜77被形成在凹槽12的内表面和绝缘膜11的表面上。第一种子膜77例如是由铜锰(CuMn)合金膜制成的,并且被形成为具有例如40 nm的厚度。第一种子膜77可根据薄膜形成技术形成,所述薄膜形成技术例如是溅镀法、原子层淀积法之类的技术。此外,通过电解电镀(ECP)方法或CVD方法,形成了第一铜膜78。为此,这里形成了厚度例如为50nm的铜膜。
然后,第二种子膜79被形成在第一铜膜78的表面上。第二种子膜79例如是由铜锰(CuMn)合金膜制成的,其厚度例如为10nm。第二种子膜79可通过薄膜形成技术形成,所述薄膜形成技术例如是溅镀法、原子层淀积法之类的技术。通过电镀(ECP)方法或CVD方法,形成了第二基于铜的膜80,以掩埋凹槽12。对于膜80,这里使用了铜膜。将会注意到,可以控制种子膜77、79和基于铜的膜78、80的厚度,以使得在凹槽12内部提供层数比以上所述更多的多层结构。
接下来,进行热处理。该热处理例如在300℃的加热温度下被执行60分钟。热处理促进了第一和第二基于铜的膜78、80中铜的晶粒生长,并且使得第一和第二种子膜78、80中的锰(Mn)与存在于由二氧化硅绝缘膜制成的绝缘膜11中的硅(Si)和氧(O)之间发生反应,从而形成了由硅酸锰(MnSixOy)薄膜制成的具有较高的针对铜的阻挡属性的阻挡膜(未示出)。这样,阻挡膜13被自形成在凹槽12的侧壁和底面上。
接下来,如图9B所示,通过化学机械磨光(CMP)方法,分别在绝缘膜11的表面上过量的第一和第二基于铜的膜78、80(见图9A)、第一和第二钟子膜77、79(见图9A)和阻挡膜13的未反应部分被磨光,以暴露绝缘膜11的表面,并经由由硅酸锰制成的阻挡膜13在凹槽12内部形成由基于铜的膜78、80制成的导体14(导线)。为了形成硅酸锰膜,存在于由铜锰合金制成的第一和第二种子膜77、79中的锰未被完全消耗,从而锰被留在其中。该锰扩散到导体14中。
如图9C所示,二氧化硅绝缘膜21被形成在绝缘膜11上,以覆盖导体14。二氧化硅绝缘膜21例如是通过淀积厚度为250nm的MSQ(甲基矽氧烷)形成的。
接下来,进行热处理。该热处理例如在300℃的加热温度下被执行60分钟。该热处理允许了扩散在导体14中的锰(Mn)进一步向上扩散到导体14的表面部分,并且该锰(Mn)与存在于二氧化硅绝缘膜21中的硅(Si)和氧(O)发生反应,从而形成了具有较高的针对铜的阻挡属性的硅酸锰(MnSixOy)薄膜22。更具体而言,硅酸锰膜22被选择性地形成在充当铜导线的导体14上。将会注意到,由于二氧化硅绝缘膜21的CVD膜形成中所涉及的热预算,上面所述的用于形成作为自形成的阻挡膜的硅酸锰膜的热处理并非总是必要的,但是为了增强硅酸锰膜22的形成效率却是优选的。
在该半导体器件制造方法中,与关于制造方法的第五实施例类似,不需要形成现有技术中形成在导线上并且介电常数约为5的碳氮化硅(SiCN)绝缘膜,从而与现有技术的情形相比电容可得以减小。此外,由于导体14与硅酸锰膜22之间的交界面粘着力得以提高,因此对应力迁移(SM)、电迁移(EM)之类的抵抗力得以提高,从而提高了布线可靠性。此外,由于在进行蚀刻以在绝缘膜(例如二氧化硅绝缘膜21)中形成通孔时较厚的硅酸锰膜22被形成在导体(例如导线)上,因此所谓的“断缺(break through)”得以抑制,其结果是通路电阻的变化可减小30%。
由于多重地形成了分别由铜锰合金制成的两个锰供应源层,如第一和第二种子膜77、79,因此硅酸锰膜22的形成效率得以增强。例如,如果单独地形成较厚的铜锰合金层,则可能担心在后续的基于铜的膜的掩埋步骤中可能发生掩埋失败。换言之,可能出现空缺(void),其结果是布线可靠性降低。
在该半导体器件制造方法中,虽然说明了所谓的单镶嵌结构,但本发明的方法同样也可适用于双镶嵌结构。对于构成导体14的材料,不仅可以使用由铜、铜合金之类形成的基于铜的膜,也可以使用诸如银(Ag)、金(Au)、铝(Al)之类的金属。
现在参考图10A至10C说明根据本发明第八实施例的用于制造半导体器件的方法,图10A至10C分别是示出制造步骤的示意性剖面图。
如图10A所示,以与参考图5A至5C说明的第五实施例中相同的方式,由二氧化硅绝缘膜制成的绝缘膜11被形成在半导体衬底(未示出)上。该绝缘膜例如是通过化学气相淀积(CVD)方法由介电常数为3或更低的无机氧化物膜形成的,所述无机氧化物膜例如是MSQ(甲基矽氧烷)膜。厚度例如为200nm。然后,通过蚀刻在绝缘膜11中形成凹槽12(例如导线凹槽)。为了进行蚀刻,例如使用了氟化碳(CF)蚀刻气体,并形成深度例如为150nm的凹槽12。
接下来,阻挡金属膜74被形成在凹槽12的内表面和绝缘膜11的表面上。阻挡金属膜74例如是由钽(Ta)膜制成的,其厚度例如为5nm。此外,形成了第一种子膜77。第一种子膜77例如是由铜锰(CuMn)合金膜制成的,其厚度例如为40nm。第一种子膜77可通过薄膜形成技术形成,所述薄膜形成技术例如是溅镀法、原子层淀积法之类的技术。然后,通过电解电镀(ECP)方法或CVD方法,形成了第一基于铜的膜78。对于基于铜的膜,这里形成了厚度例如为50nm的铜膜。
然后,第二种子膜79被形成在第一铜膜78的表面上。该第二种子膜79例如是由铜锰(CuMn)合金膜制成的,其厚度例如为10nm。第二种子膜79可通过薄膜形成技术形成,所述薄膜形成技术例如是溅镀法、原子层淀积法之类的技术。然后,通过电解电镀(ECP)方法或CVD方法,形成了第二基于铜的膜80,以掩埋凹槽12。对于基于铜的膜,这里使用了铜膜。将会注意到,当种子膜77、79和基于铜的膜78、80的厚度被适当调节时,在凹槽12内部可形成层数比以上所述更多的多层结构。
接下来,如图10B所示,在绝缘膜11的表面上过量的第一和第二基于铜的膜78、80(见图10A)、第一和第二钟子膜77、79(见图10A)和阻挡金属膜74之类的膜被磨光,以暴露绝缘膜11的表面,并经由阻挡金属膜74在凹槽12内部形成第一种子膜77、第一基于铜的膜78、第二种子膜79和第二基于铜的膜80的层叠结构。
接下来,如图10C所示,二氧化硅绝缘膜21被形成在绝缘膜11上,以覆盖层叠结构。该二氧化硅绝缘膜21例如是通过淀积厚度为250 nm的MSQ(甲基矽氧烷)形成的。
然后,进行热处理。该热处理例如在300℃的加热温度下被执行60分钟。该热处理允许了存在于第一和第二种子膜77、79(见图10B)中的锰(Mn)进一步向上扩散到第一和第二种子膜77、79的铜部分和由第一和第二基于铜的膜78、80(见图10B)制成的导体14的表面部分,并且该锰(Mn)与存在于二氧化硅绝缘膜21中的硅(Si)和氧(O)发生反应,从而形成了具有较高的针对铜的阻挡属性的硅酸锰(MnSixOy)薄膜22。更具体而言,硅酸锰膜22被选择性地形成在充当铜导线的导体14上。从而,导体14经由阻挡金属膜74被形成在凹槽12内部,而硅酸锰膜22只被形成在导体14的上表面上。将会注意到,由于二氧化硅绝缘膜21的CVD膜形成中所涉及的热预算,上面所述的用于形成作为自形成的阻挡膜的硅酸锰膜的热处理并非总是必要的,但是为了增强硅酸锰膜22的形成效率却是优选的。
在该半导体器件制造方法中,与关于制造方法的第五实施例类似,不需要形成现有技术中形成在导线上并且介电常数约为5的碳氮化硅(SiCN)绝缘膜,从而与现有技术的情形相比电容可得以减小。此外,由于导体14与硅酸锰膜22之间的交界面粘着力得以提高,因此对应力迁移(SM)、电迁移(EM)之类的抵抗力得以提高,从而提高了布线可靠性。此外,由于在进行蚀刻以在绝缘膜(例如二氧化硅绝缘膜21)中形成通孔时较厚的硅酸锰膜22被形成在导体(例如导线)上,因此所谓的“断缺”得以抑制,其结果是通路电阻的变化可减小30%。
在该半导体器件制造方法中,虽然说明了所谓的单镶嵌结构,但本发明的方法同样也可适用于双镶嵌结构。对于构成导体14的材料,不仅可以使用由铜、铜合金之类形成的基于铜的膜,也可以使用诸如银(Ag)、金(Au)、铝(Al)之类的金属。
接下来,参考图11A至11C描述根据本发明第九实施例的用于制造半导体器件的方法,图11A至11C分别是示出制造步骤的示意性剖面图。
如图11A所示,与参考图7A和7B说明的制造方法类似,由二氧化硅绝缘膜制成的绝缘膜11被形成在半导体衬底(未示出)上。该绝缘膜11例如是通过化学气相淀积(CVD)方法由介电常数为3或更低的无机氧化物膜形成的,所述无机氧化物膜例如是MSQ(甲基矽氧烷)膜。厚度例如为200nm。然后,通过蚀刻,凹槽12(例如导线凹槽)被形成在绝缘膜11中。为了进行蚀刻,例如使用了氟化碳(CF)蚀刻气体,并形成深度例如为150nm的凹槽。接下来,由基于铜的膜制成的导体(例如导线)经由由硅酸锰(MnSixOy)膜制成的阻挡金属膜13被形成在凹槽12内部。为了形成硅酸锰膜,存在于由铜锰合金制成的种子膜75(图7B)中的锰未被完全消耗,并被留在其中。该锰扩散到导体14中。
接下来,如图11B所示,二氧化硅绝缘膜21被形成在绝缘膜11上,以覆盖导体14。该二氧化硅绝缘膜21是通过淀积例如厚度为10nm的MSQ(甲基矽氧烷)形成的。此外,有机绝缘膜23被形成在二氧化硅绝缘膜21上。该有机绝缘膜23例如是由厚度例如为240nm的聚芳醚(polyaryl ether)形成的。
接下来,如图11C所示,进行热处理(固化)。该热处理例如在350℃的加热温度下被执行30分钟。根据该热处理,扩散在导体14中的锰(Mn)扩散到导体14的表面部分,并且该锰(Mn)与二氧化硅绝缘膜21中的硅(Si)和氧(O)发生反应,以形成具有较高的针对铜的阻挡属性的硅酸锰(MnSixOy)薄膜22。更具体而言,硅酸锰膜22被选择性地形成在充当基于铜的导线的导体14上。
在该半导体器件制造方法中,与关于制造方法的第五实施例类似,不需要形成现有技术中形成在导线上并且介电常数约为5的碳氮化硅(SiCN)绝缘膜,从而与现有技术的情形相比电容可得以减小。此外,由于导体14与硅酸锰膜22之间的交界面粘着力得以提高,因此对应力迁移(SM)、电迁移(EM)之类的抵抗力得以提高,从而提高了布线可靠性。此外,可以应用形成有机绝缘膜23的处理,其优点是层间绝缘膜的选择范围扩宽了。
在该半导体器件制造方法中,虽然说明了所谓的单镶嵌结构,但本发明的方法同样也可适用于双镶嵌结构。对于构成导体14的材料,不仅可以使用由铜、铜合金之类形成的基于铜的膜,也可以使用诸如银(Ag)、金(Au)、铝(Al)之类的金属。
接下来,参考图12A至15B描述根据本发明第十实施例的用于制造半导体器件的方法,图12A至15B分别是示出制造步骤的示意性剖面图。
如图12A所示,以与参考图11A至11C说明的第九实施例类似的方式,由二氧化硅绝缘膜制成的绝缘膜11被形成在半导体衬底(未示出)上。该绝缘膜11例如是通过化学气相淀积(CVD)方法由介电常数为3或更低的无机氧化物膜形成的,所述无机氧化物膜例如是MSQ(甲基矽氧烷)膜。厚度例如为200nm。然后,通过蚀刻,凹槽12(例如导线凹槽)被形成在绝缘膜31中。为了进行蚀刻,例如使用了氟化碳(CF)蚀刻气体,并形成深度例如为150nm的凹槽。接下来,由基于铜的膜制成的导体(以下称为第一导线)经由由硅酸锰(MnSixOy)膜制成的阻挡金属膜33被形成在凹槽32内部。为了形成硅酸锰膜,形成了由铜锰合金制成的种子膜(未示出),并且存在于种子膜中的锰未被完全消耗,并被留在其中。该锰扩散到导体34中。
接下来,二氧化硅绝缘膜21被形成在绝缘膜31上,以覆盖导体34。该二氧化硅绝缘膜21是通过淀积例如厚度为10nm的MSQ(甲基矽氧烷)形成的。
如图12B所示,进行热处理。该热处理例如在300℃的加热温度下被执行30分钟。根据该热处理,扩散在第一导线34中的锰(Mn)扩散到第一导线34的表面部分,并且该锰(Mn)与二氧化硅绝缘膜21中的硅(Si)和氧(O)发生反应,以形成具有较高的针对铜的阻挡属性的硅酸锰(MnSixOy)薄膜35。更具体而言,硅酸锰膜35被选择性地形成在第一导线34上。
如图12C所示,第一绝缘膜31上的二氧化硅绝缘膜21(见图12B)被去除。该去除步骤一直被进行,直到硅酸锰膜35被暴露出来。为此,使用湿法蚀刻或干法蚀刻。
接下来,如图12D所示,阻挡膜36被形成在第一绝缘膜31上,以覆盖第一导线34。该阻挡膜36例如是由厚度例如为30nm的碳氮化硅(SiCN)膜形成的。阻挡膜例如是通过CVD形成的。
如图13A所示,第二绝缘膜41被形成在阻挡膜36上。第二绝缘膜41例如是通过沉积厚度为250nm的MSQ(甲基矽氧烷)形成的。
然后,如图13B所示,例如利用化学放大ArF抗蚀剂,用于形成通孔的蚀刻掩膜(未示出)被形成在第二绝缘膜41上。然后,第二绝缘膜41被蚀刻以形成通孔42。由于由碳氮化硅制成的阻挡膜36被形成在通孔42底部,因此可以确保蚀刻时的高选择性,从而使得能够进行健壮的处理。
接下来,如图14A所示,有机抗反射膜(BARC:底部抗反射膜)81被涂覆在第二绝缘膜41上以掩埋通孔,然后形成蚀刻掩膜82,例如化学放大ArF抗蚀剂,以形成导线凹槽。该蚀刻掩膜82被形成有导线凹槽图样83。
如图14B所示,有机抗反射膜81(见图14A)和第二绝缘膜41分别被蚀刻以形成导线凹槽43。通孔42被形成在导线凹槽43底部。然后,蚀刻掩膜82和有机抗反射膜81(见图14A)均被去除。
接下来,如图15A所示,形成在通孔42底部的阻挡膜36被去除,以经由硅酸锰膜35将通孔42与第一导线34相连。为了进行蚀刻,使用了氟化碳(CF)蚀刻气体。
如图15B所示,例如由铜锰(CuMn)合金膜制成的种子膜(未示出)被形成在导线凹槽43的内表面、通孔42的内表面和第二绝缘膜41的表面上,其厚度例如为40nm。根据CVD方法的电解电镀(EPC)方法,形成了基于铜的膜,以掩埋导线凹槽43和通孔42。然后,进行热处理。该热处理例如在300℃的加热温度下被执行60分钟。该热处理促进了基于铜的膜中铜的晶粒生长,并且使得种子膜中的锰(Mn)与由二氧化硅绝缘膜制成的第二绝缘膜41中的硅(Si)和氧(O)之间发生反应,从而形成了具有较高的针对铜的阻挡属性的由硅酸锰(MnSixOy)膜制成的阻挡膜44。这样,阻挡膜44被自形成在导线凹槽43的侧壁和底面上,并且还被自形成在通孔42的侧壁上。
然后,通过化学机械磨光(CMP)方法,在第二绝缘膜的表面上过量的基于铜的膜、种子膜之类的膜被去除,以暴露第二绝缘膜41,并且分别由基于铜的膜制成的导体(第二导线45和连接插头46)分别穿过由硅酸锰膜制成的阻挡膜44被形成在导线凹槽43和通孔42的内部。为了形成硅酸锰膜,存在于由铜锰合金制成的种子膜中的锰未被完全消耗,从而锰被留在其中。留下的锰分别扩散到导体(第二导线45和连接插头46)中。
接下来,由二氧化硅绝缘膜制成的第三绝缘膜51被形成在第二绝缘膜41上,以覆盖导体(第二导线45)。该二氧化硅绝缘膜是通过淀积例如厚度为250nm的MSQ(甲基矽氧烷)形成的。
然后,进行热处理。该热处理例如在300℃的加热温度下被执行60分钟。根据该处理,扩散到导体(即第二导线45和连接插头46)中的锰向上扩散到第二导线45的表面部分,并且与存在于由二氧化硅绝缘膜制成的第三绝缘膜51中的硅(Si)和氧(O)发生反应,从而形成了具有较高的针对铜的阻挡属性的硅酸锰(MnSixOy)膜47。更具体而言,硅酸锰膜47被选择性地形成在第二导线45上。将会注意到,由于二氧化硅绝缘膜的CVD膜形成中所涉及的热预算,充当阻挡膜的硅酸锰膜是自形成的,因此热处理并非总是必要的,但是为了增强硅酸锰膜47的形成效率却是优选的。
根据该半导体器件制造方法,虽然电容与现有技术处于相同水平,但交界面粘着力得以提高,从而使得应力迁移(SM)和电迁移(EM)的可靠性得以提高。此外,由碳氮化硅制成的阻挡膜36在通孔蚀刻时展现出了高选择性,从而确保了通孔的健壮处理。因此,通孔处理时的断缺得以抑制,随之而来的优点是通路电阻的变化可减小50%。
接下来,参考图16A至18B说明根据本发明第十一实施例的用于制造半导体器件的方法,图16A至18B分别是示出制造步骤的示意性剖面图。
如图16A所示,与第六实施例类似,由二氧化硅绝缘膜制成的第一绝缘膜31被形成在半导体衬底(未示出)上。该第一绝缘膜31是通过化学气相淀积(CVD)方法由介电常数为3或更低的无机氧化物膜形成的,所述无机氧化物膜例如是MSQ(甲基矽氧烷)膜。厚度例如为200nm。然后,通过蚀刻,凹槽32(以下称为导线凹槽)被形成在绝缘膜31中。为了进行蚀刻,例如使用了氟化碳(CF)蚀刻气体,并形成深度例如为150nm的凹槽32。接下来,由基于铜的膜制成的导体34(以下称为第一导线)经由由硅酸锰膜制成的阻挡金属膜33被形成在凹槽32内部。为了形成硅酸锰膜,形成了由铜锰合金制成的种子膜(未示出),并且存在于种子膜中的锰未被完全消耗,并被留在其中。该锰扩散到第一导线34中。
接下来,如图16B所示,由二氧化硅绝缘膜制成的第二绝缘膜41被形成在绝缘膜31上,以覆盖导体34。该二氧化硅绝缘膜是通过淀积例如厚度为100nm的MSQ(甲基矽氧烷)形成的。
然后,进行热处理。该热处理例如在300℃的加热温度下被执行30分钟。根据该热处理,扩散在第一导线34中的锰(Mn)向上扩散到第一导线34的表面部分,并且该锰(Mn)与二氧化硅绝缘膜41中的硅(Si)和氧(O)发生反应,以形成具有较高的针对铜的阻挡属性的硅酸锰(MnSixOy)薄膜35。更具体而言,硅酸锰膜35被选择性地形成在第一导线34上。
接下来,如图16C所示,例如利用化学放大ArF抗蚀剂,用于形成通孔的蚀刻掩膜(未示出)被形成在第二绝缘膜41上。接下来,第二绝缘膜41被蚀刻以形成凹槽42(以下称为通孔)。
然后,如图16D所示,种子膜(未示出)被形成在通孔42的内表面和由二氧化硅绝缘膜制成的第二绝缘膜41的表面上。该种子膜可通过薄膜形成技术形成,所述薄膜形成技术例如是溅镀法、原子层淀积法之类的技术。此外,通过电解电镀(EPC)方法或CVD方法,形成了基于铜的膜(未示出),以掩埋通孔42。对于基于铜的膜,这里使用了铜膜,并通过电解电镀(EPC)方法或CVD方法形成了该铜膜。
接下来,进行热处理。该热处理例如在300℃的加热温度下被执行60分钟。根据该热处理,促进了基于铜的膜中铜的晶粒生长,并且种子膜中的锰(Mn)与存在于由二氧化硅绝缘膜制成的绝缘膜41中的硅(Si)和氧(O)发生反应,从而形成了具有较高的针对铜的阻挡属性的由硅酸锰(MnSixOy)薄膜制成的阻挡膜44。这样,通过所谓的自形成,阻挡膜44被形成在通孔42的侧壁处。
然后,通过化学机械磨光(CMP)方法,在第二绝缘膜41的表面上过量的基于铜的膜、种子膜之类的膜被磨光,以暴露第二绝缘膜41的表面,并穿过由硅酸锰制成的阻挡膜44在通孔42中形成由基于铜的膜制成的导体46(以下称为连接插头)。为了形成硅酸锰膜,存在于由铜锰合金制成的种子膜中的锰未被完全消耗,并被留在其中。该锰扩散到连接插头46中。
如图17A所示,由二氧化硅绝缘膜制成的第三绝缘膜51被形成在第二绝缘膜41上,以覆盖连接插头46。二氧化硅绝缘膜例如是通过淀积厚度为150nm的MSQ(甲基矽氧烷)形成的。
接下来,如图17B所示,进行热处理。该热处理例如在300℃的加热温度下被执行60分钟。根据该热处理,存在于连接插头46中的锰(Mn)向上扩散到连接插头46的表面部分,并且该锰(Mn)与存在于由二氧化硅绝缘膜制成的第三绝缘膜51中的硅(Si)和氧(O)发生反应,从而形成了具有较高的针对铜的阻挡属性的由硅酸锰(MnSixOy)薄膜制成的硅酸锰膜47。更具体而言,硅酸锰膜47被选择性地形成在连接插头46上。
接下来,在通过涂覆在第三绝缘膜上形成有机抗反射膜84(BARC:底部抗反射涂层)之后,例如通过利用化学放大ArF抗蚀剂,形成了用于形成导线凹槽的蚀刻掩膜85。蚀刻掩膜85被形成有导线凹槽图样86。
然后,如图18A所示,有机抗反射膜84(见图17B)和第三绝缘膜51被蚀刻,以形成凹槽52(以下称为导线凹槽)。导线凹槽52被形成为在其底部有连接插头46。然后,蚀刻掩膜85和有机抗反射膜84(见图17B)被去除。
接下来,如图18A所示,种子膜(未示出)被形成在导线凹槽52的内表面和第三绝缘膜51的表面上。该种子膜例如是由铜锰(CuMn)合金膜制成的,并且被形成为具有40nm的深度。该种子膜可通过薄膜形成技术形成,所述薄膜形成技术例如是溅镀法、原子层淀积法之类的技术。此外,通过电解电镀(EPC)方法或CVD方法,形成了基于铜的膜(未示出),以掩埋导线凹槽52。对于基于铜的膜,这里使用了铜膜,并通过电解电镀(EPC)方法或CVD方法形成了该铜膜。
接下来,进行热处理。该热处理例如在300℃的加热温度下被执行60分钟。根据该热处理,促进了基于铜的膜中铜的晶粒生长,并且种子膜中的锰(Mn)与存在于由二氧化硅绝缘膜制成的第三绝缘膜51中的硅(Si)和氧(O)发生反应,从而形成了具有较高的针对铜的阻挡属性的由硅酸锰(MnSixOy)薄膜制成的阻挡膜53。这样,阻挡膜53被自形成在导线凹槽52的侧壁和表面处,并与二氧化硅绝缘膜接触。
接下来,通过化学机械磨光(CMP)方法,在第三绝缘膜51的表面上过量的基于铜的膜、种子膜之类的膜被磨光,以暴露第三绝缘膜51的表面,并穿过由硅酸锰制成的阻挡膜53在导线凹槽52内部形成由基于铜的膜制成的导体54(以下称为第二导线)。为了形成硅酸锰膜,存在于由铜锰合金制成的种子膜中的锰未被完全消耗,而是被留在其中。该锰扩散到第二导线54中。
接下来,如图19所示,根据上述实施例中说明的方法中的任何一种,硅酸锰膜被形成在第二导线54的上表面上。例如,由二氧化硅绝缘膜制成的第四绝缘膜61被形成在第三绝缘膜51上,以覆盖第二导线54。该二氧化硅绝缘膜例如是通过淀积厚度为150nm的MSQ(甲基矽氧烷)形成的。
然后,进行热处理。该热处理例如在300℃的加热温度下被执行60分钟。根据该热处理,扩散在第二导线54中的锰(Mn)扩散到第二导线54的表面部分,并且该锰(Mn)与由二氧化硅绝缘膜制成的第四绝缘膜61中的硅(Si)和氧(O)发生反应,从而形成了具有较高的针对铜的阻挡属性的硅酸锰(MnSixOy)薄膜55。即硅酸锰膜55被选择性地形成在第二导线54上。
在该半导体器件制造方法中,与前述第九实施例类似,由于没有形成在现有技术中形成的介电常数约为5的碳氮化硅(SiCN)绝缘膜,因此与现有技术相比电容可得以减小。第一导线与硅酸锰膜35之间的交界面粘着力、连接插头46与硅酸锰膜47之间的交界面粘着力以及第二导线54与硅酸锰膜55之间的交界面粘着力分别得以提高。此外,第一导线35、连接插头47和第二导线55均处于被包封的状态,因此对应力迁移(SM)、电迁移(EM)之类的抵抗力得以提高,从而提高了布线可靠性。
本发明包含与2005年11月21日向日本专利局递交的日本专利申请JP 2005-335309相关的主题,这里通过引用并入该申请的全部内容。

Claims (7)

1.一种半导体器件,包括:
其中具有凹槽的绝缘膜;
形成在所述凹槽内部的电导体;
形成在所述电导体的上表面上的硅酸锰膜,
所述硅酸锰膜是由包含在所述电导体中的锰与形成在所述绝缘膜上的二氧化硅绝缘膜的反应产物形成的。
2.根据权利要求1所述的半导体器件,其中所述绝缘膜是由二氧化硅绝缘膜制成的,并且在所述凹槽的内表面与所述电导体之间的交界面处提供了硅酸锰膜,该硅酸锰膜是由包含在所述电导体中的锰与所述绝缘膜之间的反应产物制成的。
3.根据权利要求2所述的半导体器件,其中在所述凹槽的内表面中提供的所述硅酸锰膜与形成在所述电导体的上表面上的所述硅酸锰膜毗邻连接。
4.一种用于制造半导体器件的方法,包括以下步骤:
提供绝缘膜;
在所述绝缘膜中形成凹槽;
在所述凹槽内形成电导体,所述电导体包含锰;
至少在所述绝缘膜上形成第一二氧化硅绝缘膜以覆盖所述电导体;并且
通过存在于所述电导体中的锰与所述第一二氧化硅绝缘膜之间的反应在所述电导体的上表面上形成硅酸锰层。
5.根据权利要求4所述的用于制造半导体器件的方法,其中,在所述凹槽中形成所述电导体之前,或在所述凹槽中形成所述电导体的过程中,在所述凹槽中形成了充当所述锰的馈给源的铜锰合金层。
6.根据权利要求5所述的用于制造半导体器件的方法,其中,所述绝缘膜由第二二氧化硅绝缘膜形成,并且所述电导体由主要由铜制成的铜合金形成,以掩埋所述凹槽,其中,在所述铜锰合金层与所述第二二氧化硅绝缘膜之间的边界处,通过所述铜锰合金层与由所述第二二氧化硅绝缘膜制成的所述绝缘膜的反应,形成了硅酸锰层。
7.根据权利要求4所述的用于制造半导体器件的方法,其中,在形成所述第一二氧化硅绝缘膜之后,进行热处理。
CN2006101457791A 2005-11-21 2006-11-21 半导体器件及其制造方法 Active CN1971901B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2005335309A JP4529880B2 (ja) 2005-11-21 2005-11-21 半導体装置および半導体装置の製造方法
JP2005335309 2005-11-21
JP2005-335309 2005-11-21

Publications (2)

Publication Number Publication Date
CN1971901A CN1971901A (zh) 2007-05-30
CN1971901B true CN1971901B (zh) 2010-10-27

Family

ID=38112615

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006101457791A Active CN1971901B (zh) 2005-11-21 2006-11-21 半导体器件及其制造方法

Country Status (5)

Country Link
US (1) US8035230B2 (zh)
JP (1) JP4529880B2 (zh)
KR (1) KR20070053636A (zh)
CN (1) CN1971901B (zh)
TW (1) TW200737407A (zh)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5103914B2 (ja) * 2007-01-31 2012-12-19 富士通セミコンダクター株式会社 半導体装置の製造方法及び半導体装置
WO2009001780A1 (ja) * 2007-06-22 2008-12-31 Rohm Co., Ltd. 半導体装置およびその製造方法
JP5288734B2 (ja) * 2007-06-22 2013-09-11 ローム株式会社 半導体装置およびその製造方法
US8168532B2 (en) 2007-11-14 2012-05-01 Fujitsu Limited Method of manufacturing a multilayer interconnection structure in a semiconductor device
JP2009141058A (ja) 2007-12-05 2009-06-25 Fujitsu Microelectronics Ltd 半導体装置およびその製造方法
JP2010073736A (ja) * 2008-09-16 2010-04-02 Rohm Co Ltd 半導体装置の製造方法
JP2010098195A (ja) * 2008-10-17 2010-04-30 Hitachi Cable Ltd 配線構造及び配線構造の製造方法
JP5532578B2 (ja) * 2008-10-21 2014-06-25 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5396854B2 (ja) * 2008-12-25 2014-01-22 富士通セミコンダクター株式会社 半導体装置の製造方法
US8653664B2 (en) 2009-07-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layers for copper interconnect
US8313659B2 (en) * 2009-07-10 2012-11-20 Seagate Technology Llc Fabrication of multi-dimensional microstructures
US8531033B2 (en) 2009-09-07 2013-09-10 Advanced Interconnect Materials, Llc Contact plug structure, semiconductor device, and method for forming contact plug
US8653663B2 (en) 2009-10-29 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US8361900B2 (en) 2010-04-16 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US8492289B2 (en) 2010-09-15 2013-07-23 International Business Machines Corporation Barrier layer formation for metal interconnects through enhanced impurity diffusion
US8461683B2 (en) * 2011-04-01 2013-06-11 Intel Corporation Self-forming, self-aligned barriers for back-end interconnects and methods of making same
US20130307153A1 (en) 2012-05-18 2013-11-21 International Business Machines Corporation Interconnect with titanium-oxide diffusion barrier
US9054109B2 (en) * 2012-05-29 2015-06-09 International Business Machines Corporation Corrosion/etching protection in integration circuit fabrications
CN103515297B (zh) * 2012-06-28 2016-03-30 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
JP6030439B2 (ja) * 2012-12-27 2016-11-24 東京エレクトロン株式会社 マンガン含有膜の形成方法、処理システム、および電子デバイスの製造方法
JP2014141739A (ja) * 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US9343400B2 (en) * 2013-03-13 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene gap filling process
US20150137372A1 (en) * 2013-11-15 2015-05-21 Globalfoundries Inc. Self forming barrier layer and method of forming
US9997457B2 (en) * 2013-12-20 2018-06-12 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US20150255331A1 (en) * 2014-03-04 2015-09-10 GlobalFoundries, Inc. Integrated circuits with a copper and manganese component and methods for producing such integrated circuits
US9728502B2 (en) 2014-11-10 2017-08-08 Samsung Electronics Co., Ltd. Metal oxysilicate diffusion barriers for damascene metallization with low RC delays and methods for forming the same
US10446496B2 (en) 2016-02-17 2019-10-15 International Business Machines Corporation Self-forming barrier for cobalt interconnects
US10319629B1 (en) * 2018-05-08 2019-06-11 International Business Machines Corporation Skip via for metal interconnects

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6461225B1 (en) * 2000-04-11 2002-10-08 Agere Systems Guardian Corp. Local area alloying for preventing dishing of copper during chemical-mechanical polishing (CMP)
JP4478038B2 (ja) * 2004-02-27 2010-06-09 株式会社半導体理工学研究センター 半導体装置及びその製造方法
JP4679270B2 (ja) * 2005-06-30 2011-04-27 株式会社東芝 半導体装置およびその製造方法
JP2007012996A (ja) * 2005-07-01 2007-01-18 Toshiba Corp 半導体装置

Also Published As

Publication number Publication date
JP4529880B2 (ja) 2010-08-25
US20080142974A1 (en) 2008-06-19
JP2007142236A (ja) 2007-06-07
US8035230B2 (en) 2011-10-11
CN1971901A (zh) 2007-05-30
TW200737407A (en) 2007-10-01
TWI371083B (zh) 2012-08-21
KR20070053636A (ko) 2007-05-25

Similar Documents

Publication Publication Date Title
CN1971901B (zh) 半导体器件及其制造方法
US11488862B2 (en) Semiconductor device with reduced via resistance
US6528884B1 (en) Conformal atomic liner layer in an integrated circuit interconnect
CN102246293A (zh) 具有改进的电介质线路到过孔的抗电迁移性界面层的互连结构及其制造方法
US8889546B2 (en) Discontinuous/non-uniform metal cap structure and process for interconnect integration
EP1330842B1 (en) Low temperature hillock suppression method in integrated circuit interconnects
US9812391B2 (en) Advanced metallization for damage repair
KR100914982B1 (ko) 반도체 소자의 금속배선 및 그 형성방법
US20030219968A1 (en) Sacrificial inlay process for improved integration of porous interlevel dielectrics
US6251771B1 (en) Hydrogen passivation of chemical-mechanically polished copper-containing layers
US6495466B2 (en) Method of manufacturing a semiconductor device and a semiconductor device
US20090001577A1 (en) Metal line of semiconductor device with a triple layer diffusion barrier and method for forming the same
EP1249867A2 (en) A metal barrier for copper interconnects that incorporates silicon in the metal barrier or at the copper/metal barrier interface
JP2000150517A (ja) 半導体集積回路装置およびその製造方法
KR20040077421A (ko) 반도체 장치의 금속배선 형성 방법
US6544886B2 (en) Process for isolating an exposed conducting surface
US20040173803A1 (en) Interconnect structure having improved stress migration reliability
US20040155348A1 (en) Barrier structure for copper metallization and method for the manufacture thereof
CN115954324A (zh) 一种半导体结构及其制作方法
US9761529B2 (en) Advanced metallization for damage repair
US8008708B2 (en) Metal line of semiconductor device having a diffusion barrier and method for forming the same
US7981781B2 (en) Metal line of semiconductor device having a diffusion barrier and method for forming the same
KR100784105B1 (ko) 반도체 소자의 제조 방법
JP2004288763A (ja) 半導体装置の製造方法及び半導体装置
TW201535653A (zh) 金屬內連線結構及其製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20151029

Address after: American California

Patentee after: SONY CORPORATION

Address before: Tokyo, Japan, Japan

Patentee before: Sony Corporation