CN1854899A - 使用散射测量的光刻测量 - Google Patents

使用散射测量的光刻测量 Download PDF

Info

Publication number
CN1854899A
CN1854899A CNA2006100711259A CN200610071125A CN1854899A CN 1854899 A CN1854899 A CN 1854899A CN A2006100711259 A CNA2006100711259 A CN A2006100711259A CN 200610071125 A CN200610071125 A CN 200610071125A CN 1854899 A CN1854899 A CN 1854899A
Authority
CN
China
Prior art keywords
graphical
measurement
data
point sensor
critical dimension
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2006100711259A
Other languages
English (en)
Inventor
K·范因根舍洛
M·H·F·詹森
A·G·M·基尔斯
H·范德兰
P·C·P·瓦洛彭
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN1854899A publication Critical patent/CN1854899A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • EFIXED CONSTRUCTIONS
    • E04BUILDING
    • E04GSCAFFOLDING; FORMS; SHUTTERING; BUILDING IMPLEMENTS OR AIDS, OR THEIR USE; HANDLING BUILDING MATERIALS ON THE SITE; REPAIRING, BREAKING-UP OR OTHER WORK ON EXISTING BUILDINGS
    • E04G21/00Preparing, conveying, or working-up building materials or building elements in situ; Other devices or measures for constructional work
    • E04G21/32Safety or protective measures for persons during the construction of buildings
    • EFIXED CONSTRUCTIONS
    • E04BUILDING
    • E04GSCAFFOLDING; FORMS; SHUTTERING; BUILDING IMPLEMENTS OR AIDS, OR THEIR USE; HANDLING BUILDING MATERIALS ON THE SITE; REPAIRING, BREAKING-UP OR OTHER WORK ON EXISTING BUILDINGS
    • E04G17/00Connecting or other auxiliary members for forms, falsework structures, or shutterings
    • E04G17/002Workplatforms, railings; Arrangements for pouring concrete, attached to the form
    • EFIXED CONSTRUCTIONS
    • E04BUILDING
    • E04GSCAFFOLDING; FORMS; SHUTTERING; BUILDING IMPLEMENTS OR AIDS, OR THEIR USE; HANDLING BUILDING MATERIALS ON THE SITE; REPAIRING, BREAKING-UP OR OTHER WORK ON EXISTING BUILDINGS
    • E04G7/00Connections between parts of the scaffold
    • E04G7/02Connections between parts of the scaffold with separate coupling elements
    • E04G7/28Clips or connections for securing boards
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7085Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load

Abstract

一种光刻设备,包括:照明器,用于调节辐射束;以及支撑装置,用于保持图形化装置。图形化装置用于根据希望的图形将辐射束图形化。该光刻设备还包括:基板台,用于保持基板;以及投影系统,用于将图形化的辐射束投射到基板的目标部分上以在基板上形成图形化的图像。该光刻设备还包括:传感器,用于截取一部分辐射束,并测量穿过图形化装置的至少一部分的辐射束的透射。

Description

使用散射测量的光刻测量
技术领域
本发明涉及一种光刻设备和一种预测由光刻设备成像的特征的临界尺寸的方法。
背景技术
优先权信息
本申请要求享受于2005年2月25日申请的美国临时性专利申请第60/455,907号的优先权和/或权益,这里引用其全部内容参考。
光刻设备是将希望的图形施加到基板的目标部分上的机器。光刻设备能用于例如集成电路(IC)的制造中。在这种情况下,可选择地称作掩模或光刻版(reticle)的图形化装置可用于产生对应于IC的一个单独层的电路图形,该图形可以成像在具有辐射敏感材料(抗蚀剂)层的基板(如硅晶片)的目标部分上(例如包括一个或者多个芯片)。一般地,单个基板将包含相邻目标部分的整个网格,相邻目标部分被依次曝光。公知的光刻设备包括所谓的步进器(stepper),其中通过将全部掩模图形一次曝光在目标部分上而辐射每一目标部分;和所谓的扫描器(scanner),其中通在给定的方向(“扫描”方向)上由投影射束扫描图形、并同时沿与该方向平行或者反平行的方向同步扫描基板来辐射每一目标部分。
光刻中的新型设备和方法的发展已经使得在基板上图形化的成像特征(如线及接触孔或通孔)的分辨率的提高,可能得到小于50nm的分辨率。例如,这可以应用数值孔径(NA)相对高的投影系统(大于0.75NA),193nm或更小的波长,以及如相移掩模、非常规照明和改进的光致抗蚀剂工艺的许多技术来实现。
但是,某些小特征,如接触孔,特别难制造。亚波长分辨率的制造工艺的成功将依赖于印制低调制图像的能力或将图像调制增大到能产生可接受的光刻成品率的水平的能力。
一般地,工业上已经使用瑞利准则来估算工艺的临界尺寸(CD)和焦深(DOF)性能。用以下公式可以给出CD和DOF的数值:
                  CD=k1(λ/NA),             (1)
                  DOF=k2(λ/NA2),           (2)
其中λ是照射辐射的波长,k1和k2是具体的光刻工艺的常数,而NA是数值孔径。
提供洞察在分辨极限处的光刻困难的辅助数值包括曝光宽容度(exposurelatitude,EL)、密集:孤立偏差(Dense:Isolated Bias,DIB)、和掩模误差增强系数(MEEF)。曝光宽容度描述了印制的图像的临界尺寸(CD)在容许限度内的百分比剂量范围。例如,曝光宽容度可以定义为对印制线宽度造成10%变化的曝光剂量的变化。曝光宽容度是光刻中印制特征时可靠性的度量。它与DOF一起用于确定工艺窗口,即,使最终抗蚀剂轮廓保持在预定规格内的焦点和曝光的区域。密集:孤立偏差(也称为孤立—密集偏差)是相似特征之间的尺寸差异的度量,这取决于图形密度。MEEF描述图形化装置CD误差如何转换成基板CD误差。其它可以纳入考虑的成像因素包括节距。节距是两个特征(例如接触孔)之间的距离。在相干照明的简化近似中,光刻系统的分辨率也可以作为可分解为波长和数值孔径NA的函数的光栅的最小半节距的形式来引用。
尤其由于曝光和焦点的变化,由光刻工艺显影的图形连续地被监测或测量,以确定图形的尺寸是否在容许范围内,或者限定CD均匀度(CDU)。图形特征的监测及其尺寸的测量(度量)一般是使用扫描电子显微镜(SEM)或者光学装置来进行的。常规SEM度量具有很高的分辨能力,能分辨0.1微米量级的特征。不过,SEM度量实施起来较昂贵,操作上相对慢,而且难以自动化。
随着器件尺寸的缩小,CD的测量变得越来越有挑战性。随着器件尺寸变小,器件的CD的误差容限也在减小,因此,需要更加紧密的工艺窗口。因此,需要一种能容许用户将CD度量扩展到下一代器件制造的方法。
发明内容
根据本发明的一个方面,提供一种光刻设备。该光刻设备包括:照明器,用于调节辐射束;以及支撑装置,用于保持图形化装置。图形化装置用于根据希望的图形图形化辐射束。该光刻设备还包括:基板台,配置成保持基板;以及投影系统,用于将图形化的辐射束投射到基板的目标部分以在基板上形成图形化的图像。该光刻设备还包括:传感器,构造并设置成截取辐射束的一部分,并测量通过图形化装置的至少一部分的辐射束的透射。
根据本发明的另一方面,提供一种使用模型来预测由光刻设备成像的特征的临界尺寸的方法。该方法包括:将包括光瞳测量、投影系统像差、辐射剂量曲线(profile)、焦点曲线(profile)或者前述这些的任意组合在内的数据参数的输入模型中;输入与临界尺寸度量相关的图形化装置信息数据;并输入与临界尺寸度量相关的工艺信息数据。该方法还包括,通过使用Bossung曲线、图形化装置误差系数、或者这两者的多个模拟和/或测量数据,将输入数据转换成基板临界尺寸均匀度数据。
根据本发明的另一方面,提供一种用模型来预测由光刻设备成像的特征的临界尺寸的方法。该方法包括:将包括光瞳数值、投影系统像差、辐射剂量曲线、焦点曲线或者前述这些的任意组合在内的数据参数输入模型,并执行点传感器启动的图形化装置测量,以获得与临界尺寸相关的图形化装置信息数据。该方法还包括,将图形化装置信息数据输入模型,并将与临界尺寸度量相关的工艺信息数据输入模型。该方法也包括,通过使用Bossung曲线、图形化装置误差系数、或者这两者的多个模拟和/或测量数据,将输入数据转换成基板临界尺寸均匀度数据。
尽管本文中具体参考在IC制造中使用的光刻设备,但应当理解到这里描述的光刻设备可具有其他应用,如集成光学系统、磁畴存储器的引导和检测图形、液晶显示器(LCD)、薄膜磁头等的制造。本领域技术人员将理解到在这种可选择应用的描述中,术语“晶片”或“芯片”的任何使用可认为是分别与更常用的术语“基板”或“目标部分”同义。可在曝光之前或之后,例如用涂胶机(track)(通常给基板涂覆抗蚀剂层并显影曝光后的抗蚀剂的工具)、度量和/或检查工具处理这里所述的基板。在可应用的情况下,这里公开的内容可用于这种或其他基板处理工具。此外,例如为了制造多层IC,基板可进行多次处理,从而这里使用的术语基板还指已经包含多个已处理的层的基板。
这里使用的术语“辐射”和“射束”包含所有类型的电磁辐射,包括紫外线(UV)辐射(例如具有365、248、193、157或126nm的波长)和极紫外(EUV)辐射(例如具有5-20nm范围的波长),以及粒子束,如离子束或者电子束。
这里使用的术语“图形化装置”应广义地解释为能够给辐射束的截面赋予图形从而在基板的目标部分上形成图形的任何装置。应当注意,赋予射束的图形可能不完全与基板的目标部分上的希望图形相对应。一般地,赋予射束的图形会与形成在目标部分中的部件的具体功能层相对应,如集成电路。
图形化装置可以是透射的或反射的。图形化装置的例子包括掩模、可编程反射镜阵列和可编程LCD面板。掩模在光刻中是很公知的,其包括如二进制型、交替相移型、和衰减相移型的掩模类型,以及各种混合掩模类型。可编程反射镜阵列的例子使用了小反射镜的矩阵排列,每一个小反射镜都能单独倾斜,从而在不同方向上反射入射的辐射束;以这种方法,将反射的射束图形化。
支撑结构保持图形化装置的方式取决于图形化装置的取向、光刻设备的设计和诸如构图设备是否放置在真空环境中的其它条件。该支架能使用机械夹持、真空或其他夹持技术,例如真空条件下的静电夹持。例如对于投影系统而言,支撑结构可以是例如根据需要可以固定或移动并且可确保图形化装置处在所希望的位置处的框架或平台。这里术语“光刻版”或“掩模”的任何使用都可以认为与更常用的术语“图形化装置”同义。
这里使用的术语“投影系统”应该广义地解释为包括例如适于曝光辐射使用的、或其它因素(如浸液或真空)使用的各种类型的投影系统,包括折射光学系统、反射光学系统和反射折射光学系统。这里术语“投影透镜”的任何使用被认为与更常用的术语“投影系统”同义。
照明系统也包括各种类型的光学元件,包括折射、反射和折射反射光学元件,用于引导、整形或控制辐射束,在下面统一或单独地将这种元件称为“透镜”。
该光刻设备可以是具有两个(双级)或多个基板台(和/或两个或更多个支撑结构)的类型。在这种“多级”机器中,可以并行使用附加平台,或者可以在在一个或多个平台上进行准备步骤,而一个或者多个其它平台用于曝光。
光刻设备还可以是这种类型,其中基板表面浸入具有较高折射率的液体(例如水)中,以充满投影系统的末级元件与基板之间的空间。浸液还可以应用于光刻设备的其它空间,例如在图形化装置和投影系统的第一元件之间。浸入技术在本领域公知地用于增加投影系统的数值孔径。
这里所述的方法可以作为软件、硬件或其结合而执行。在一个实施例中,提供一种包括程序代码的计算机程序,当在计算机系统上执行时,命令计算机系统执行这里所述的任何或全部方法。
附图说明
通过以下结合附图对本发明示例性的实例作的详细描述,本发明的这些及其它方面会变得更加清楚和更易理解,在这些附图中:
图1示意性地表示根据本发明实施例的光刻投影设备;
图2A表示根据本发明实施例的使用模型来预测由光刻设备成像的特征的临界尺寸的方法的流程图;
图2B表示临界尺寸均匀度质量鉴定试验的曝光布局的例子;
图3表示根据本发明实施例的由模拟得到的临界尺寸与测量出的临界尺寸的比较;
图4表示在较低的K1值和较小的特征尺寸下增加的图形化装置误差系数的趋势的模拟实例;
图5表示对临界尺寸预算的不同贡献的分布;
图6A-D表示根据本发明实施例的使用散射测量的测量方法的概述;
图7A-C表示65nm密集线的散射测量中间CD与CD-SEM测量之间的相关性的例子;
图8A和8B表示分别在60nm散射测量光栅模块和65nm散射测量光栅模块内的图形化临界尺寸均匀度的例子;
图9A示意性地表示在标准80μm光栅模块内的60μm直径散射测量点;
图9B示意性地表示在标准80μm光栅模块内的120μm点传感器直径;
图9C示意性地表示在120μm尺寸模块内的120μm点传感器直径;
图10A-C表示具有不同线/间隔比的各种线图形;
图11A-11G表示对于图形化装置的水平和垂直密集线光栅、孤立线光栅和空白区域的示例性全场图形化装置透射测量的各种图示;
图12A-D表示对于不同图形化装置类型,以65nm节距设置的65nm的线的图形的零级和第一衍射级(正和负)的模拟幅度;
图13表示作为图形化装置的相位和透射的函数的零级透射的模拟图像强度;
图14A-B分别表示65nm密集线跨隙(图14A)和跨场(across field)点对点(图14B)的示例性SERUM-SEM光刻版CD相关性;
图15表示根据本发明实施例的用于图形化装置误差校正的校正了照明均匀度且标准化了的透射指纹的例子;
图16A表示在基于光刻版SEM和SERUM二者的REC之前和之后的65nm密集线的CDU跨隙的例子;以及
图16B表示REC和光刻版CD度量改进之后的跨场的CD预算。
具体实施方式
图1示意性地描述了依照本发明的一个特定实施例的光刻设备。该设备包括:
照明系统(照明器)IL,适于调节辐射束PB(例如UV辐射);
支撑结构(例如掩模台)MT,构造成保持图形化装置(例如掩模)MA,并与构造成将该图形化装置相对于物件PL精确定位的第一定位装置PM连接;
基板台(如晶片台)WT,其配置成保持基板(如涂覆抗蚀剂的晶片)W,并与配置成将该基板相对于物件PL精确定位的第二定位装置PW连接;和
投影系统(如折射投影透镜)PL,其适于将由图形化装置MA赋予射束PB的图形成像到基板W的目标部分C(例如包括一个或多个芯片)上。
该光刻设备也包括配置成测量图形化装置的透射的工作中曝光系统度量传感器或点传感器SS。
如这里指出的,该装置是透射型的(例如采用透射掩模)。或者,该装置可以是反射型的(如使用上述类型的可编程反射镜阵列)。
照明器IL从辐射源SO接收辐射束。例如当辐射源是受激准分子激光器时,辐射源和光刻设备可以是分离的实体。在这种情形中,不认为辐射源形成光刻设备的一部分,并且辐射束借助射束传送系统BD从辐射源SO传播到照明器IL,所述射束传送系统BD例如包括适合的导向反射镜和/或扩束器。在其他情形中,例如当辐射源是汞灯时,辐射源可以是光刻设备的构成部分。辐射源SO和照明器IL,如果需要的话加上射束传送系统BD一起称作辐射系统。
照明器IL包括调节装置AM,用于调整射束的角强度分布。一般地,至少能够调节照明器光瞳平面内强度分布的外部和/或内部径向程度(通常分别称为σ-外和σ-内)。此外,照明器IL一般还包括各种其他组件,如积分器IN和聚光器CO。照明器提供一种经调整处理的在其横截面具有预期的均匀性和强度分布的辐射束,称为投影射束PB。
辐射束PB入射到保持在支撑结构MT上的图形化装置MA上。穿过图形化装置MA后,投影射束PB穿过投影系统PL,该投影系统PL将射束聚焦到基板W的目标部分C上。借助于在第二定位装置PW和位置传感器IF(如干涉仪装置),基板台WT可以精确地移动,例如以便在射束PB的光路中定位不同的目标部分C。类似的,例如在从掩模库中机械取出掩模后或在扫描期间,可以使用第一定位装置PM和另一位置传感器(图1中未明确示出)将掩模MA相对射束PB的光路进行精确定位。一般地,借助于构成定位部件PM和PW中的一个或两个的一部分的长冲程模块(粗略定位)和短冲程模块(精确定位),可以实现支撑结构MT和基板台WT的移动。可是,在步进器中(与扫描器相反),支撑结构MT可以只与短冲程致动器连接,或者固定。可使用图形化装置对准标记M1、M2和基板对准标记P1、P2,对准图形化装置MA和基板W。所述的设备可以按照下列优选模式使用:
1.在步进模式中,支撑结构MT和基板台WT基本保持不动,同时将赋予给投影射束的整个图形一次投射到目标部分C上(即单次静态曝光)。然后基板台WT沿X和/或Y方向移动,以使不同的目标部分C曝光。在步进模式中,曝光场的最大尺寸限制了在单次静态曝光中成像的目标部分C的尺寸;
2.在扫描模式中,同步扫描支撑结构MT和基板台WT,同时将赋予给投影束的图形投射到目标部分C上(即单次动态曝光)。由投射系统PL的(缩小)放大率和图像反转特性来确定基板台WT相对于支撑结构MT的速度和方向。在扫描模式中,曝光场的最大尺寸限制了在单次动态曝光中目标部分的宽度(在非扫描方向上),而扫描运动的长度确定了目标部分的高度(在扫描方向上)。
3.在其他模式中,保持着可编程图形化装置的支撑结构MT基本上保持不动,并且在移动或扫描基板台WT的同时将赋予给投影束的图形投射到目标部分C上。在该模式中,一般使用脉冲辐射源,并在基板台WT的每次移动之后或在扫描过程中连续的辐射脉冲之间按要求更新可编程图形化装置。该操作模式很容易应用于利用可编程图形化装置(例如如上述类型的可编程反射镜阵列)的无掩模光刻中。
也可以使用上述使用模式的组合和/或变形或者使用完全不同的使用模式。
标准CDU系统质量鉴定试验使用“全基板CDU”曝光布局。进行CD测量,并且在模拟之后获得“跨场”和“跨基板”CDU值。例如,在直线图形的情况下,进行CD测量并计算跨场线宽变化和跨基板线宽变化成分。为了从曝光系统分离出CD的影响,确定图形化装置和工艺、图形化装置(光刻版)误差校正(REC)和工艺误差校正(PEC)。在确定REC和PEC之后,获得CDU系统质量鉴定试验的最终结果。
本发明人已经开发出了CDU模型,以便能进行CD预算和预测。图2A表示根据本发明实施例的应用模型来预测由光刻设备成像的特征的临界尺寸的方法的流程图。模型的输入基于曝光系统子元件数据,诸如测量出的光瞳、透镜像差、和/或剂量及焦点曲线和分布(见框201)。另外,也输入与CD度量、工艺和图形化装置相关的信息(见202和203框)。例如,图形化装置信息可以包括图形化装置中的图形(如垂直和/或水平线,或孔)的特征的几何信息。通过使用多个模拟或测量的Bossung曲线和掩模(图形化装置)误差系数(MEF),将输入值转换成全基板CDU数据(见框204)。后续分析与实验获得的数据集合相同。图2B表示根据本发明实施例的对193nm的辐射波长及0.93的数值孔径NA进行的CDU质量鉴定试验的曝光布局的例子。
图3表示根据本发明实施例的对于80nm孤立线的由模拟得到的CD与测量出的CD的比较。图3表示在80nm孤立线的情况下,跨过狭缝所测量和预测的CD的良好相关性。在图3中,“MeasH”是指对水平线测量出的CD,“Meas.V”是指对垂直线测量出的CD,“Pred.H”是指用模拟预测的水平线的CD,“Pred.V”是指用模拟预测的垂直线的CD。模拟与实验得出的CDU值之间的良好的匹配表明该模型是用于预测CDU模拟和分析的有效手段。因此,CD预算能用该模型来建立,并能用于推动系统子元件要求和成像改进。
上述CDU预测模型对于具有低MEF的特征(如孤立的线)来说是成功的。但是,这种模型对于具有高MEF的特征(如接触孔或密集的线)是不够的。因为图形化装置CD的残余的水平相对较高,所以图形化装置成分开始支配基板CD预算。因而,可能需要对图形化装置CD度量进行改进,以便使图形化装置与曝光系统CD的贡献分开。
有两种导致更高MEF的趋势:第一种是驱动到更小的节距——对更小的节距来说不管k1是否相同MEF都会增加。第二种是驱动到更低的k1成像——例如,从k1=0.35到0.31,MEF增大约40%。
为了阐明MEF的作用,图4表示使用环形照明和6%衰减相移掩模(PSM)时,对于两个k1值(031和0.35),随k1值的减小(其可以与半节距值的减小相关联)和特征尺寸的减小,MEF增大的趋势的例子。例如,在MEF为5和图形化装置CD控制为6.5nm(4x)的3σ的情况下,得到的的晶片CD均匀度是等于8.1nm的3σ,其高于DRAM的国际半导体技术蓝图(InternationalTechnology Roadmap for Semiconductors)(ITRS)的要求。
图5表示对CD预算的不同影响的分布图。图5表示图形化装置(光刻版)成分支配65nm密集线(即使进行了REC之后)的跨场预算。希望在进行了REC和PEC之后,得到的CDU结果中只有30%来自非曝光系统影响因素。但是,如图5所示,很清楚,对于用65nm密集线所作的当前测试并不是这样的。
在一个实施例中,为了对线进行CDU系统质量鉴定试验,进行了实验,其中使用具有0.94/0.74的σ的环形照明和6%的衰减PSM,以0.93的NA的步进—扫描曝光系统,来印制65nm的密集线。沉积在基板上的抗蚀剂层包括堆叠的38nm厚的1C5DBARCTM(AZ Electronic Material)、150nm厚的TOK-6111TM光致抗蚀剂(日本的Tokyo Ohka Kogyo)和52nm厚的AQUATARTM(AZElectronicMaterial)。用Nanometrics Corporation的NANOMETRICS 8300散射仪进行基板上的CD测量。
在本发明的实施例中,在0.93的NA的步进一扫描曝光系统的情况下,选择散射测量以用于进行线/间隔的CDU系统质量鉴定试验。散射测量可容许快速测量、无CD负载、且无破坏性。而且,散射测量能延伸到40nm以下的CD测量。使用CD-SEM,可建立图形化装置上的图形与基板上的图形之间的直接关系。在散射测量的情况下,在基板上测量许多线的平均值,且该测量与图形化装置测量匹配到nm。
在散射测量中,一束宽波段光601(在一个实施例中有效的光点直径是65μm)射向图形化在基板603上的光栅结构602,然后由分光计收集从光栅散射的光604。(参见图6A)。作为波长和偏振器605的方向&TE或TM的函数,来测量反射系数。(参见图6A-C)。将信号(TE或TM)绘制成作为波长的函数的曲线(图6D),并通过“库”转换成线轮廓。该库包含与抗蚀剂特性相关的信息。然后,调整几何参数以拟合测量的TE曲线(图6D)。除了CD信息以外,散射光的光谱包含有关侧壁角和组成膜厚度的信息。
在本发明的实施例中,使用NANOMETRICS 8300TM为晶片CD测量获得总测试可再现性(TTR)。对于中间CD定义,65nm密集线的TTR是1.0nm(3σ),65nm孤立线(1:6)的TTR是0.5nm(3σ),最终40nm半密集(1:3)线的TTR是0.5nm(3σ)。由于TTR更好,与CD-SEM的相互关系更好且对工艺噪音的敏感性更低,因此选择中间CD的定义胜过最高CD和最低CD的定义。
为了提供CDU系统质量鉴定,使65nm线的散射测量基板CD测量与常规的CD-SEM测量相关联。关联数据表明,CD散射测量的测量与常规的CD-SEM测量相匹配。
图7A-C表示65nm密集线的散射测量中间CD与CD-SEM测量之间的相关性。图7A表示用CD-SEM获得的Bossung曲线(不同曝光能量(mJ/cm2)下的CD与焦点关系曲线)。图7B表示用散射测量获得的Bossung曲线。图7C表示在最佳焦点处的相互关系。
如同能从图7A-B中看出的那样,获得了散射测量的中间CD的更加光滑的Bossung曲线。而且,图7C表示,对于65nm密集线,在散射测量中间CD与CD-SEM测量之间获得了良好线性相关性,斜率为1.0±0.1。
图形化装置上的CD变化有可能会妨碍现有技术的扫描器的CDU系统质量鉴定。即使在运用了REC之后,图形化装置对CDU的影响也保持高水平。目前的REC过程包括图形化装置CD的LWM或SEM测量,确定图形化装置上的CD误差如何转换成抗蚀剂CD误差(即,MEF:掩模误差系数),以及将该影响从测量的抗蚀剂CD分布中除去。LWM是一种由LEICA Microsystems开发的、包括深紫外(DUV)光学显微镜的用于基板的自动测量的度量工具。
用LWM进行的CD测量具有等于4nm(4x)的3σ的可再现性。因此,如果,例如MEF是4,则对于“理想的”曝光系统最小的所期望的基板CDU是为4nm的3σ。
Applied Materials的图形化装置CD-SEM的可再现性更好,3σ为1nm(4x)。对于散射测量光栅测量情况下的LWM和CD-SEM两者来说,应当测量光栅内的多个位置。在65nm的CD-SEM散射测量光栅测量中,结果已经表明,光栅内CDU是总光刻版CDU的大约70%,并由随机效应所支配。对于光刻版误差校正(REC),使用光栅内的9个光刻版CD测量,具体位置取决于散射仪光点直径。图8A表示90nm散射测量光栅模块内的图形化CD均匀度,而图8B表示65nm散射测量光栅模块内的图形化CD均匀度。
一个对于散射仪光栅的图形化装置CD质量鉴定的需求促使用于图形化装置(光刻版)测量的本方法。该方法称为SERUM(Spot sensor Enabled ReticleUniformity Measurement,点传感器启动的图形化装置测量)。该方法使用工作中(即,在光刻设备中)的曝光系统度量传感器(点传感器SS),来测量转换成光刻版CD的透射。
在本发明的实施例中,跨场图形化装置的透射可由位于基板台上的点传感器SS测量。当测量同一光栅(故意地)的透射(散布在图形化装置上)时,透射值可转换成图形化装置CD指纹。该指纹然后能用于光刻版(图形化装置)误差校正(REC)。
在本发明的实施例中,能在图形化装置上不安装的保护性薄膜的情况下,进行图形化装置CD测量。或者,也可在其上具有保护性薄膜的情况进行测量。
为了能排除照明均匀度(以及非均匀图形化装置空白透射)的影响,通过图形化装置的空白区域进行基准均匀度测量。使用SERUM方法的一个益处是对于跨越光刻版的两个方向,91个点的测量(包括标准化测量)花费少于大约两分钟的时间。而且,点传感器可重复性是0.1%(3σ),转化为小于0.1nm(3σ,4x)的理论图形化装置CD测量可重复性。
参照图9A,该图表示标准80μm光栅模块中的60μm直径散射仪点。现在参照图9B,点传感器直径大约是120μm,其大于散射仪点及标准光栅模块。在一个实施例中,为了适应SERUM工艺,用包括120μm尺寸模块在内的各种尺寸的散射测量光栅模块来制造图形化装置(图9C)。
在一个实施例中,为了排除第一和更高衍射级的影响,以只有第零衍射级能到达基板台上的点传感器的亚分辨率NA/照明模式进行SERUM测量。结果,相同线/间隔的透射是0.25;由于几何结构的影响,密集光栅挡住了一半的光。而且,与适中的透镜NA=0.60和248nm波长结合的小光栅节距(160nm)导致只有第零衍射级到达点传感器,这又是光的一半。占空度的任何变化都会转化成基板水平的不同第零级透射。如果图形化装置CD增大,透射就降低,反之亦然。应用这种概念,测量出的跨过图形化装置的透射变化能转换成图形化装置指纹。图10A-C表示对于二进制80nm L/S图形化装置,作为图形化装置CD的函数的图像密度(第零级透射)。在图10A中,图形的线/间隔尺寸大约是280/360nm,且透射大约是31%。在图10B中,图形的线/间隔尺寸大约是320/32nm,且透射大约是25%。在图10C中,图形的线/间隔尺寸大约是360/280nm,且透射大约是19%。
在本发明的实施例中,使用波长为248nm的辐射束和为0.75的曝光系统的数值孔径,用80nm L/S二进制掩模(图形化装置)进行第一SERUM测量。在这种情况下,k1值等于0.24。用这些数值,SERUM是可能的,因为它基于第零级光透射测量。在该实施例中使用标准尺寸光栅(见图9B)。
图11A-11G表示对于水平和垂直密集线光栅、孤立线光栅和空白区域的全场图形化装置透射测量的各个图示。透射值作为点传感器(SS)/能量传感器(ES)比给出。即,没有图形化装置的透射为1。上面的行包括所测量出的水平和垂直密集光栅、水平孤立线光栅和空白区域的全场光刻版(图形化装置)透射。这些值作为SS/ES的比给出。下面的行包括除以空白透射和标准化之后的全场透射指纹。图11E-11G表示相对透射(Tcorr)。例如,水平密集线的计算由下式给出。
Tcorr H dense = ( T H dense / T Blank mean ( T H dense / T Blank ) - 1 ) * 100
两个结果需要更详细的解释说明,因为这些结果受许多问题影响。第一个问题是所测量出的密集光栅的透射约为0.50。由于几何结构的影响,密集光栅挡住一半的光。而且,与0.60的适中透镜NA相结合的小光栅节距(160nm),导致只有第零衍射级能到点传感器(这又是光的一半)。最终结果是0.25的有效透射,而不是测量出的0.50。这种差异的原因表示在图9B中,该图表示了相对于光栅的区域被点传感器所覆盖的区域。如图9B所示,大部分光通过空白的周围到达了点传感器。另外需要提出的一点,是水平和垂直密集线的平均透射之间的差异。这最可能归因于点传感器关于可处于10μm量级内的光刻版光栅的误定位。结果,本发明人确定由于这些实验缺陷,如果根本没有缺陷的话,REC可能更低效。但是,实验仍然表明SERUM的可行性。本发明人已经确定,能够考虑到这些缺陷,并相应地调整测量。例如,可以调整点传感器的定位,或者使落在光栅区域外部的点传感器的区域变暗,以消除可能到达点传感器的额外的光。另外,可以校正点传感器关于光栅的误定位,例如,通过精确调整点传感器相对于光栅区域的位置。或者,可以使用更大的光栅,如图9C所示。
在另一实施例中,与相移掩模结合进行SERUM测量。对于相移掩模来说,SERUM第零级透射的测量不仅取决于掩模CD,而且取决于相位和掩模透射。
参照图12A-D,这些图表示对于以65nm节距设置(以下称作“65nm L/S”)的65nm线的图形,以及193nm辐射波长和约0.93的数值孔径的第零和第一衍射级(正和负)的幅度。给出了对于二进制掩模(图12A)、6%衰减相移掩模(图12B)、20%相移掩模(图12C)和交替式相移掩模(图12D)的结果。
如同能从图12A-D看出的那样,相移掩模的整体掩模透射高于二进制掩模(见第一衍射级),但用SERUM测出的第零级透射较低,因为更多光移动到第一和更高的级。如果在适中NA下进行SERUM测量,则投影透镜捕获不到第一衍射级,只会测量到第零级透射。对于具有100%透射的衰减相移掩模和具有180度相位的交替式相移掩模来说,SERUM第零级透射测量有望接近于零,因为全部光移动到第一和更高的级。
在非光化学波长对相移掩模的SERUM测量是可能的,但掩模相位和透射都依赖于波长。例如,具有72nm MoSi吸收器的193nm专用的6%衰减PSM在248nm波长处具有大约27%的透射和大约130度的相位。通过将光化学和非光化学波长SERUM测量结合起来,可以确定相移掩模的相位。只有后者对相位敏感。利用模拟敏感性或MEF模型的SERUM测量,可以取出图形化装置(光刻版)成分,生成相位信息。而且,在本发明的实施例中,可以原位地进行衰减相移掩模的相位测量。
图13表示作为图形化装置的相位和透射的函数的第零级透射的图像强度。
如同能从图13看出的那样,在0%掩模透射处,二进制掩模的图像强度大约是0.25。当使用180度的相移掩模时,图像强度对掩模透射减小,因为更多的光移动到第一级。对于具有大约6%的掩模透射和180度的相位的6%衰减PSM来说,图像强度大约是0.14。对于248nm波长处的193nm专用的6%衰减PSM的SERUM测量来说,希望图像强度大约是0.15。
表1表示具有65nm L/S的6%的衰减相移掩模,SERUM灵敏度和掩模上的典型范围。
  灵敏度   λ=193nm   λ=248nm   掩模上的典型范围
  dCD/dI(4x)   5.5nm/%   7.0nm/%   ΔCD   7nm
  dE/dI   ∞   4.4°/%   ΔE   2.7°
  dT/dI   1.3   1.8   ΔT   0.02%
                          表1
因为掩模透射的变化低,这可被忽略。但是,掩模上的相位变化在非光化学波长测量下是显著的。2.7°相位范围导致等于4.2nm光刻版CD变化的0.6%图像强度。
在一个实施例中,使用具有0.75的NA的投影系统,用具有248nm的波长的辐射进行SERUM测量。在该实施例中,使用6%的衰减PSM(193nm),并测量65nmL/S散射测量光栅。248nm处的透射变成20%而不是6%,且248nm处的相位变成140°而不是180°,这导致对光刻版CD灵敏度的较大影响。另一方面,透射和相位的变化可被忽略,因为它们小而且具有低灵敏度。
用SEM和SERUM得到的图形化装置CD测量是相关联的。对于80nm二进制掩模/图形化装置,用SEM和SERUM得到的图形化装置CD测量之间具有良好的相关性。另外,对于65nm L/S的衰减相移掩模,将用SEM得到的80μm模块(见图9A)的图形化装置CD测量与用SERUM得到的80和120μm模块(见图9B-C)的图形化装置CD测量进行比较。图14A-B分别表示对于65nm密集线跨隙(across slit)(图14A)与跨场点对点(图14B)的SERUM-SEM光刻版CD相关性。
如同能从图14A-B看出的那样,65nm垂直密集线的80μm模块图形化装置CD SEM测量与SERUM 120μm模块测量之间存在良好的相关性。该图表示在小的偏移与灵敏度校正之后,跨隙的图形化装置CD指纹的优良相关性(R2=0.97,DRMS=0.2nm)。对于单独的跨场点,也存在良好的相关性(R2=0.93,DRMS=0.4nm)。ΔRMS还包括一些由于相差和不同模块之间的比较造成的系统差异。
采用基于散射测量光栅测量的SEM,REC适于65nm和80nm CDU系统质量鉴定的数据集。
为了测试SERUM是否工作良好,测量80nm密集散射测量光栅的图形化装置透射,并将其用于校正由使用193nm辐射和具有0.85的NA的投影系统对图形化装置获得的CDU测量结果。
表2表示对于垂直和水平线,测量出的CDU以及对SERUM应用REC之后获得的CDU。在进行REC之后,可看见大的SERUM的CDU的提高,特别是与水平线相关的。但是,如上面所讨论过的,目前实验受到许多问题的影响,例如点传感器的直径大于光栅区域、点传感器相对于光栅未对准。如上面所讨论过的,可以考虑这些问题并对其进行校正,因而能改善结果。
  CDU[nm3σ]   水平密集   垂直密集
  测量出的   8.12   8.75
  在SERUMREC之后   3.25   5.80
                  表2
校正了照明均匀度并标准化的透射指纹用于REC,如图15所示。图15表示密集线的Tcorr(由空白测量按照a%进行校正的图形化装置透射)(第一列)以及水平密集光栅(第一行)和垂直密集光栅(第二行)的测得CD指纹CDraw(第二列)。在最后一列中的曲线图表示Tcorr与CDraw之间的相关性。这种拟合的残余在第三列的曲线图中被直观化,即,运用REC之后的CD指纹。下面的行表示当用水平密集光栅的Tcorr对垂直密集光栅的测得CD指纹进行校正时,垂直密集线的CD指纹与水平密集光栅的透射测量(最后一列)和残余之间的相关性。令人惊讶地,这导致了甚至更低的CDU值。这最可能是因为测量缺陷造成的。与图15相对应的相关性结果总结在表3中。在该评估中,没有进行孤立线的校正。
REC光栅 标准化   Hdense   Vdense
  斜率[nm/%]   R2   3σ[nm]   斜率[nm/%]   R2   3σ[nm]
  -   -   -   -   8.12   -   -   8.75
  H&V密集,分别地H密集 空白区域空白区域 -3.77 0.84 3.25 -3.68-3.88 560.77 5.804.18
                                 表3
不用CD数据就能估算斜率CD晶片/I。例如,这可以通过计算由于图形化装置CD变化造成的基板水平上的强度改变来进行(第一步)。这可以用空间像模拟器来进行,例如Puptool。由于点传感器直径(=120μm)大于光栅尺寸(80×80μm2),见图8B,只有部分测量出的信号归因于穿过光栅的透射。考虑到点传感器的区域和光栅的区域以及几何的和衍射的影响,在晶片水平所测量出的强度的24.6%可归因于光栅透射。最后的两步是经由实验MEF将图形化装置CD转换成晶片CD,并取代其。
                            表4
该斜率数可与表3的相比较。不同之处最可能是由前面讨论过的测量的缺陷造成的。使用两个图形化装置CD数据集(用CD-SEM得到的那些以及用SERUM得到的那些)对REC之后的65nm和80nm密集线的跨场CDU进行比较。
在一个实施例中,使用6%衰减相移掩模、约0.93的数值孔径和环形照明,将基于REC的SERUM应用于65nm密集线的CDU数据集。表5表示在基于REC的SERUM之前和之后的65nm密集线的跨隙CDU结果。在表5中,CDUH对应于水平线的CDU,而CDU V对应于垂直线的CDU。
  REC之前   REC之后
  CDUH   6.3   3.6
  CDUV   5.1   1.9
  CDUH&V   6.0   2.9
  HV偏差   1.4   -0.5
                        表5
如表5所示,基于REC的SERUM导致更小的CDU跨隙和水平-垂直偏差(HV偏差)。
图16A表示基于光刻版SEM和SERUM两者的REC之前和之后的65nm密集线的CDU跨隙。图16B表示在REC和光刻版CD度量改进之后的跨场CD预算。如同从SEM和SERUM的光刻版CD隙的良好相关性中所希望的那样,REC之后的晶片CD隙指纹是可比较的。对于这两种情况来说,在REC之后,典型系统的CDU跨隙从5.1nm(3σ)减小至1.9nm(3σ)。图16B表示REC和光刻版CD度量改进之后,使用环形照明的密集线的65nm跨场CD预算。如同能从图16B看出的那样,光刻版剩余成分不再支配CDU系统质量鉴定试验。
这里讨论的方法使用散射测量提供65nm线/间隔的CDU系统质量鉴定。SERUM是对于散射测量光刻版CD测量提供优良可再现性和快速分析的有前途的新技术。CDU预测模型成功地应用于65nm CD预算分析。由于散射测量和SERUM而造成的提高的测量可重复性,对于65nm密集线,非曝光工具CD影响因素可减少到小于整个跨场CD预算的30%。
由于本领域普通技术人员会容易地想到多种改进和变形,因而不希望将本发明限定在这里所述的具体结构和操作中。例如,虽然以诸如某种辐射波长这样的某些参数、以投影系统的某些条件和/或以某些图形化装置进行SERUM测量,但必须理解,SERUM测量不限于这些实施例,而是可以用任何选定的参数进行。
而且,像用于光刻技术中的相关设备和工艺一样,本发明的工艺、方法和设备本质上趋于复杂,并通常根据经验确定操作参数的适当数值或通过执行计算机模拟而进行最佳地实行,以达到对于特定应用的最佳设计。因此,应当认为,所有适当的修改和等同物都应当落入本发明的精神和范畴中。

Claims (35)

1、一种使用模型来预测由光刻设备成像的特征的临界尺寸的方法,包括:
将包括光瞳测量、投影系统像差、辐射剂量曲线、焦点曲线或者前述这些的任意组合在内的数据参数输入模型;
输入与临界尺寸度量相关的图形化装置信息数据;
输入与临界尺寸度量相关的工艺信息数据;以及
通过使用Bossung曲线、图形化装置误差系数或者这两者的多个模拟和/或测量的数据,将所述输入数据转换成基板临界尺寸均匀度数据。
2、如权利要求1所述的方法,其中所述基板临界尺寸均匀度数据具有与基板测得的临界尺寸数据良好的相关性。
3、如权利要求2所述的方法,其中所述测得的临界尺寸数据是通过使用散射测量得到的。
4、如权利要求5所述的方法,其中所述用散射测量得到的测得的临界尺寸数据与用扫描电子显微镜得到的测得的临界尺寸数据相关。
5、如权利要求1所述的方法,其中输入图形化装置信息数据包括输入图形化装置中的图形的特征的几何信息。
6、如权利要求5所述的方法,其中所述特征包括垂直线、水平线、或者两者。
7、如权利要求5所述的方法,其中所述特征包括孔。
8、如权利要求1所述的方法,还包括用扫描电子显微镜进行测量,以得到图形化装置信息数据。
9、如权利要求1所述的方法,还包括用深紫外线显微镜进行测量以获得图形化装置信息数据。
10、如权利要求1所述的方法,还包括执行点传感器启动的图形化装置测量,以获得图形化装置信息数据。
11、如权利要求10所述的方法,其中执行点传感器启动的图形化装置测量包括使用在光刻设备中提供的点传感器,来测量穿过图形化装置的辐射的透射。
12、如权利要求10所述的方法,其中执行点传感器启动的图形化装置测量包括执行穿过图形化装置的空白区域的基准均匀度测量。
13、如权利要求10所述的方法,其中执行点传感器启动的图形化装置测量包括使用光刻设备中的辐射束。
14、如权利要求10所述的方法,其中所述辐射束具有紫外光谱内的波长。
15、如权利要求10所述的方法,其中考虑到所述点传感器相对于图形化装置中的光栅区的位置,对所述点传感器启动的图形化装置测量进行调整。
16、如权利要求10所述的方法,其中考虑到相对于图形化装置中的光栅区的区域的所述点传感器的区域,对所述点传感器启动的图形化装置测量进行调整。
17、如权利要求10所述的方法,其中所述图形化装置是二进制掩模和相移掩模之一。
18、如权利要求10所述的方法,还包括对点传感器启动的图形化装置测量应用图形化装置误差校正。
19、如权利要求10所述的方法,其中当保护薄膜安装在所述图形化装置上时进行所述测量。
20、如权利要求10所述的方法,其中所述图形化装置是相移掩模,并用光化学及非光化学波长进行所述测量。
21、如权利要求20所述的方法,其中从所述测量获得相移掩模的相位。
22、一种光刻设备,包括:
照明器,构造成调节辐射束;
支撑装置,构造成保持图形化装置,该图形化装置配置成根据希望的图形将辐射束图形化;
基板台,构造成保持基板;
投影系统,构造成将图形化的辐射束投射到基板的目标部分上以在该基板上形成图形化的图像;以及
传感器,构造并设置成截取射束的一部分,并测量穿过所述图形化装置的至少一部分的射束的透射。
23、如权利要求22所述的设备,其中所述传感器是设置在所述基板台附近的点传感器。
24、如权利要求22所述的设备,其中所述传感器构造成测量穿过图形化装置的空白区域的透射,以建立基准均匀度测量。
25、如权利要求22所述的设备,还包括计算器,配置成将所述传感器的透射测量转换成图形化装置的临界尺寸数据。
26、如权利要求25所述的设备,其中所述计算器还配置成通过使用Bossung曲线、图形化装置误差系数或者这两者的多个模拟和/或测量的数据,将输入数据转换成基板临界尺寸均匀度数据。
27、如权利要求26所述的设备,其中所述输入数据包括光瞳测量、投影系统像差、辐射剂量曲线、焦点曲线、与临界尺寸度量相关的工艺信息数据、或者前述这些的任意组合。
28、如权利要求22所述的设备,其中所述传感器配置成在保护薄膜安装在图形化装置上时测量射束的透射。
29、如权利要求22所述的设备,其中所述图形化装置是相移掩模,且所述传感器配置成使用光化学及非光化学波长测量射束的透射。
30、一种用模型来预测由光刻设备成像的特征的临界尺寸的方法,包括:
将包括光瞳测量、投影系统像差、辐射剂量曲线、焦点曲线或者前述这些的任意组合在内的数据参数输入模型;
执行点传感器启动的图形化装置测量,以获得与临界尺寸相关的图形化装置信息数据;
将该图形化装置信息数据输入模型;
将与临界尺寸度量相关的工艺信息数据输入模型;以及
通过使用Bossung曲线和图形化装置误差系数中的至少一个的多个模拟和/或测量数据,将输入数据转换成基板临界尺寸均匀度数据。
31、如权利要求30所述的方法,其中执行点传感器启动的图形化装置测量包括使用在光刻设备中提供的点传感器,来测量穿过图形化装置的辐射的透射。
32、如权利要求31所述的方法,其中所述辐射具有紫外光谱内的波长。
33、如权利要求30所述的方法,其中考虑所述点传感器相对于图形化装置中的光栅区的位置,对所述点传感器启动的图形化装置测量进行调整。
34、如权利要求30所述的方法,其中考虑相对于图形化装置中的光栅区的区域的所述点传感器的区域,对所述点传感器的启动图形化装置测量进行调整。
35、如权利要求30所述的方法,还包括对所述点传感器启动的图形化装置测量应用图形化装置误差校正。
CNA2006100711259A 2005-02-25 2006-02-24 使用散射测量的光刻测量 Pending CN1854899A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US65590705P 2005-02-25 2005-02-25
US60/655907 2005-02-25

Publications (1)

Publication Number Publication Date
CN1854899A true CN1854899A (zh) 2006-11-01

Family

ID=36147057

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2006100711259A Pending CN1854899A (zh) 2005-02-25 2006-02-24 使用散射测量的光刻测量

Country Status (7)

Country Link
US (1) US7443486B2 (zh)
EP (1) EP1696271A1 (zh)
JP (1) JP2006237626A (zh)
KR (1) KR100747144B1 (zh)
CN (1) CN1854899A (zh)
SG (1) SG125231A1 (zh)
TW (1) TW200641548A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109883653A (zh) * 2019-01-10 2019-06-14 苏州端景光电仪器有限公司 一种自聚焦透镜光学后截距的静态测试装置及方法

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7483804B2 (en) * 2006-09-29 2009-01-27 Tokyo Electron Limited Method of real time dynamic CD control
US7625680B2 (en) * 2006-09-29 2009-12-01 Tokyo Electron Limited Method of real time dynamic CD control
US20080135774A1 (en) 2006-12-08 2008-06-12 Asml Netherlands B.V. Scatterometer, a lithographic apparatus and a focus analysis method
TW200836215A (en) * 2007-02-27 2008-09-01 Univ Nat Taiwan Science Tech Inverse method of fiber probe aperture size by non-destructive method and prediction fabrication profile method of near field photolithography
US7917244B2 (en) * 2007-03-14 2011-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for reducing critical dimension side-to-side tilting error
US7460237B1 (en) 2007-08-02 2008-12-02 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL1036018A1 (nl) * 2007-10-09 2009-04-15 Asml Netherlands Bv A method of optimizing a model, a method of measuring a property, a device manufacturing method, a spectrometer and a lithographic apparatus.
WO2009083606A1 (en) * 2008-01-03 2009-07-09 Carl Zeiss Sms Gmbh Method and apparatus for mapping of line-width size distributions on photomasks
DE102008015631A1 (de) * 2008-03-20 2009-09-24 Carl Zeiss Sms Gmbh Verfahren und Vorrichtung zur Vermessung von Masken für die Photolithographie
US9046788B2 (en) * 2008-05-19 2015-06-02 International Business Machines Corporation Method for monitoring focus on an integrated wafer
US8432530B2 (en) * 2008-07-22 2013-04-30 Canon Kabushiki Kaisha Device, method, and system for measuring image profiles produced by an optical lithography system
JP5284481B2 (ja) * 2008-11-07 2013-09-11 エーエスエムエル ネザーランズ ビー.ブイ. スキャトロメータおよびリソグラフィ装置
DE102010030758B4 (de) 2010-06-30 2018-07-19 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Steuerung kritischer Abmessungen in optischen Abbildungsprozessen für die Halbleiterherstellung durch Extraktion von Abbildungsfehlern auf der Grundlage abbildungsanlagenspezifischer Intensitätsmessungen und Simulationen
RU2509718C1 (ru) * 2012-08-07 2014-03-20 Корпорация "САМСУНГ ЭЛЕКТРОНИКС Ко., Лтд." Оптическая измерительная система и способ измерения критического размера
EP3221897A1 (en) 2014-09-08 2017-09-27 The Research Foundation Of State University Of New York Metallic gratings and measurement methods thereof
US9842724B2 (en) 2015-02-03 2017-12-12 Kla-Tencor Corporation Method and system for imaging of a photomask through a pellicle
EP3293575A1 (en) * 2016-09-12 2018-03-14 ASML Netherlands B.V. Differential target design and method for process metrology
EP3396458A1 (en) * 2017-04-28 2018-10-31 ASML Netherlands B.V. Method and apparatus for optimization of lithographic process
CN110622069B (zh) 2017-05-05 2022-08-09 Asml荷兰有限公司 用于预测器件制造工艺的良率的方法
CN113376976A (zh) * 2017-06-22 2021-09-10 Asml荷兰有限公司 用于确定对指纹的贡献的方法
JP7014805B2 (ja) * 2017-08-29 2022-02-01 ギガフォトン株式会社 データ解析装置、半導体製造システム、データ解析方法、及び半導体製造方法
EP3891558A1 (en) * 2018-12-03 2021-10-13 ASML Netherlands B.V. Method to predict yield of a semiconductor manufacturing process

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58124905A (ja) 1982-01-21 1983-07-25 Nec Corp パタ−ン測定方法
US5703692A (en) * 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
KR100210569B1 (ko) 1995-09-29 1999-07-15 미따라이 하지메 노광방법 및 노광장치, 그리고 이를 이용한 디바이스제조방법
JPH09162107A (ja) * 1995-12-11 1997-06-20 Nikon Corp 投影露光方法
US5880838A (en) * 1996-06-05 1999-03-09 California Institute Of California System and method for optically measuring a structure
US5963329A (en) * 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US6215578B1 (en) * 1998-09-17 2001-04-10 Vanguard International Semiconductor Corporation Electronically switchable off-axis illumination blade for stepper illumination system
US6187488B1 (en) * 1999-03-18 2001-02-13 Kabushiki Kaisha Toshiba Pattern estimating method and pattern forming method
US6429943B1 (en) * 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6689519B2 (en) * 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US6753961B1 (en) * 2000-09-18 2004-06-22 Therma-Wave, Inc. Spectroscopic ellipsometer without rotating components
IL138552A (en) * 2000-09-19 2006-08-01 Nova Measuring Instr Ltd Measurement of transverse displacement by optical method
US6768983B1 (en) * 2000-11-28 2004-07-27 Timbre Technologies, Inc. System and method for real-time library generation of grating profiles
US6515744B2 (en) * 2001-02-08 2003-02-04 Therma-Wave, Inc. Small spot ellipsometer
WO2002065545A2 (en) * 2001-02-12 2002-08-22 Sensys Instruments Corporation Overlay alignment metrology using diffraction gratings
US6699624B2 (en) * 2001-02-27 2004-03-02 Timbre Technologies, Inc. Grating test patterns and methods for overlay metrology
JP2004529330A (ja) * 2001-03-02 2004-09-24 アクセント オプティカル テクノロジーズ,インク. スキャタロメトリを使用するライン・プロファイルの非対称測定
US6704661B1 (en) * 2001-07-16 2004-03-09 Therma-Wave, Inc. Real time analysis of periodic structures on semiconductors
US6785638B2 (en) 2001-08-06 2004-08-31 Timbre Technologies, Inc. Method and system of dynamic learning through a regression-based library generation process
US7061615B1 (en) * 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
US6792374B2 (en) * 2001-10-30 2004-09-14 Micron Technology, Inc. Apparatus and method for determining effect of on-chip noise on signal propagation
US6608690B2 (en) * 2001-12-04 2003-08-19 Timbre Technologies, Inc. Optical profilometry of additional-material deviations in a periodic grating
US6772084B2 (en) * 2002-01-31 2004-08-03 Timbre Technologies, Inc. Overlay measurements using periodic gratings
US6813034B2 (en) * 2002-02-05 2004-11-02 Therma-Wave, Inc. Analysis of isolated and aperiodic structures with simultaneous multiple angle of incidence measurements
US7061627B2 (en) * 2002-03-13 2006-06-13 Therma-Wave, Inc. Optical scatterometry of asymmetric lines and structures
US6721691B2 (en) * 2002-03-26 2004-04-13 Timbre Technologies, Inc. Metrology hardware specification using a hardware simulator
US6928628B2 (en) * 2002-06-05 2005-08-09 Kla-Tencor Technologies Corporation Use of overlay diagnostics for enhanced automatic process control
US7046376B2 (en) * 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
US6919964B2 (en) * 2002-07-09 2005-07-19 Therma-Wave, Inc. CD metrology analysis using a finite difference method
SG120958A1 (en) * 2002-11-01 2006-04-26 Asml Netherlands Bv Inspection method and device manufacturing method
US7068363B2 (en) * 2003-06-06 2006-06-27 Kla-Tencor Technologies Corp. Systems for inspection of patterned or unpatterned wafers and other specimen
US7061623B2 (en) * 2003-08-25 2006-06-13 Spectel Research Corporation Interferometric back focal plane scatterometry with Koehler illumination
KR100824031B1 (ko) * 2004-01-30 2008-04-21 에이에스엠엘 마스크툴즈 비.브이. 캘리브레이션된 고유 분해 모델을 이용하여 노광 툴들의믹스/매치로 인한 모델 opc 편차를 예측하고최소화하는 방법
US7266800B2 (en) * 2004-06-04 2007-09-04 Invarium, Inc. Method and system for designing manufacturable patterns that account for the pattern- and position-dependent nature of patterning processes
US7791727B2 (en) * 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20060109463A1 (en) * 2004-11-22 2006-05-25 Asml Netherlands B.V. Latent overlay metrology
US7453577B2 (en) * 2004-12-14 2008-11-18 Asml Netherlands B.V. Apparatus and method for inspecting a patterned part of a sample

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109883653A (zh) * 2019-01-10 2019-06-14 苏州端景光电仪器有限公司 一种自聚焦透镜光学后截距的静态测试装置及方法

Also Published As

Publication number Publication date
KR20060094892A (ko) 2006-08-30
US20060192936A1 (en) 2006-08-31
KR100747144B1 (ko) 2007-08-07
US7443486B2 (en) 2008-10-28
SG125231A1 (en) 2006-09-29
TW200641548A (en) 2006-12-01
JP2006237626A (ja) 2006-09-07
EP1696271A1 (en) 2006-08-30

Similar Documents

Publication Publication Date Title
CN1854899A (zh) 使用散射测量的光刻测量
US9563131B2 (en) Lithographic apparatus, substrate and device manufacturing method
US9436099B2 (en) Lithographic focus and dose measurement using a 2-D target
JP4912241B2 (ja) インスペクション方法およびインスペクション装置、リソグラフィ装置、リソグラフィ処理セルならびにデバイス製造方法
KR101257453B1 (ko) 리소그래피에 사용하는 검사 방법
CN1499293A (zh) 检验方法及器件制造方法
US10871716B2 (en) Metrology robustness based on through-wavelength similarity
KR20110102486A (ko) 검사 방법 및 장치, 리소그래피 장치, 리소그래피 처리 셀, 및 디바이스 제조 방법
JP2008166777A (ja) リソグラフィ装置およびデバイス製造方法
CN1892431A (zh) 表征方法、表征处理操作的方法、以及装置制造方法
JP2008083032A (ja) 検査方法および装置、リソグラフィ装置、リソグラフィ処理セルおよびデバイス製造方法
TW201816524A (zh) 用於量測微影裝置之聚焦效能之方法及圖案化器件及裝置及器件製造方法
CN109923476B (zh) 量测目标测量选配方案的自动选择
US8520212B2 (en) Scatterometry method and measurement system for lithography
CN1499292A (zh) 检验方法和器件制造方法
US20220350268A1 (en) Metrology mark structure and method of determining metrology mark structure
US11016397B2 (en) Source separation from metrology data
US10983440B2 (en) Selection of substrate measurement recipes
TWI836599B (zh) 判定來自統計獨立源之度量衡貢獻值之方法、判定微影程序之感興趣參數之方法及其相關聯電腦程式與非暫時性電腦程式載體
US20230408931A1 (en) Method of determining mark structure for overlay fingerprints
US11733615B2 (en) Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
WO2023041488A1 (en) Source separation from metrology data

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication