CN1812054B - 双功函数金属栅极结构及其制造方法 - Google Patents

双功函数金属栅极结构及其制造方法 Download PDF

Info

Publication number
CN1812054B
CN1812054B CN2005101297196A CN200510129719A CN1812054B CN 1812054 B CN1812054 B CN 1812054B CN 2005101297196 A CN2005101297196 A CN 2005101297196A CN 200510129719 A CN200510129719 A CN 200510129719A CN 1812054 B CN1812054 B CN 1812054B
Authority
CN
China
Prior art keywords
work function
metal level
metal
nmos
pmos
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2005101297196A
Other languages
English (en)
Other versions
CN1812054A (zh
Inventor
金旼炷
李钟镐
韩成基
丁炯硕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020050014719A external-priority patent/KR101147868B1/ko
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN1812054A publication Critical patent/CN1812054A/zh
Application granted granted Critical
Publication of CN1812054B publication Critical patent/CN1812054B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

本发明公开一种半导体器件及其相关制造方法,其中通过用碳和/或氟掺杂金属层,由单个金属层形成双功函数金属栅极电极。

Description

双功函数金属栅极结构及其制造方法
技术领域
本发明总体涉及半导体器件及其相关制造方法。更具体地,本发明涉及具有双金属栅极结构的半导体器件及其相关制造方法。
背景技术
对日益密集集成的半导体器件的持续需求,包括对于不断增大容量的半导体存储器件的需求,已经在减小形成当代半导体器件的组成部件的尺寸方面造成不断的压力。例如,在过去数年中,常规互补金属氧化物半导体(CMOS)器件中的几乎每个组成部件的物理尺寸都已经显著减小。对于CMOS存储器件尤其如此。然而,尽管它们的组成部件的物理尺寸不断减小,但是当代CMOS存储器件仍必需满足提高要求的性能标准。
CMOS存储器件的该普遍存在的“按比例缩小(scale down)”需要使用更薄但适应性能标准的栅极绝缘(例如电介质)层。随着在过去的十年中CMOS存储器的设计标准发展到100nm以下,日益明显,通常使用的多晶硅栅极结构将不再与非常薄的栅绝缘层一起运行。
多晶硅栅极电极已经使用了很长时间。多晶硅易于沉积和图案化。它不会受到随后施加的高温工艺的负面影响,并且通过选择性掺杂多晶硅能容易地修改它的“功函数”。
包括半导体在内的所有导电材料的特征在于对施加的能量有某种响应度。该响应度称为材料的“功函数”,且通常用电子伏(eV)表示。材料的该内禀属性由在真空中从材料的费米能级移除一个电子所需的最小能量的大小来定义。不同的材料具有不同的费米能级、不同的电子结构,因此需要不同大小的施加能量来移除一个电子。
在所有材料中,电子以能态的级别排列它们自己,填充较高能态之前首先填满较低能态。特定材料的费米能级与零温度时该材料的最高占据能态有关。
对于许多未掺杂的半导体材料如多晶硅,费米能级以及相应的功函数通常处于所谓的硅的导带(约4.1eV)和硅的价带(约5.2eV)之间的中间位置。(该类型的功函数在下文中称为“中间带隙型(mid-bandgap)”)
相反,常规半导体材料已经被选择性掺杂从而产生N型或P型材料。N型半导体材料具有与硅的价带相比更靠近硅的导带的费米能级。P型半导体材料具有相反的特性。
在当代半导体器件中大量形成诸如晶体管的PMOS和NMOS型器件。这些器件类型的每种在运行上受益于栅极电极,其分别具有包括P型和N型功函数的P型和N型性能特征。因此,常规多晶硅CMOS栅极电极通常被掺杂有选定的P型和N型杂质,从而将未掺杂多晶硅的中间带隙型功函数分别修改(“调节”)到更恰当地适合(即,与之“相容”)PMOS和NMOS器件的水平。
不幸的是,当与非常薄的栅绝缘层一起使用时,由于称为栅极耗尽效应的公知现象,掺杂多晶硅栅极电极表现出不期望的电压降和无法接受的驱动电流要求。掺杂多晶硅栅极电极还会表现出与高k(high-k)栅极电介质有关的高栅极电阻、硼(B)渗透问题和稳定性问题。
开始于二十世纪九十年代末期,研究人员建议使用金属栅极电极作为以前在CMOS器件中使用的多晶硅栅极电极的替代品。各种金属被确定为用于形成金属栅极电极的可能候选者,包括钨(W)、钛(Ti)、钽(Ta)、钼(Mo)、钌(Ru)、镍(Ni)和铌(Nb)。各种金属化合物、金属氮化物、金属硅化物和金属氧化物也被建议用于形成金属栅极电极。(在下文中,当与适于在栅极电极的制造中使用的金属层的选择和形成有关时,金属的化合物、合金、氮化物、硅化物和氧化物全部都包含在术语“金属”中)
虽然某些类型的金属栅极电极表现出一些化学和热稳定性问题,但是与多晶硅栅极电极相比,金属栅极电极通常具有低栅极电阻且不受到栅极耗尽效应的困扰。然而,金属栅极电极制造起来显著更难且更复杂。用于构图金属层的蚀刻工艺尤其如此。这样的工艺使用在操作、使用和使用后的处理方面困难或危险的化学药品。
由于这些增大的处理难度,通常优选仅形成具有中间带隙型功函数的单个金属层,PMOS和NMOS金属栅极电极随后由该单个金属层形成。也就是说,不赞成需要多个金属层沉积和多个相应的蚀刻步骤的制造方法。然而,必须注意到,一些制造者已经采用了多金属层沉积,尽管提高了处理难度,但是解决了提供与PMOS相容和与NMOS相容的栅极电极的问题。一些公司感到为PMOS和NMOS栅极电极的形成准确选择不同材料的能力允许在为包含PMOS或NMOS栅极电极的器件确定功函数和选择运行阈值电压(Vth)方面的最大灵活性。
Liang等人的美国专利6130123意识到由单个金属层形成双金属栅极电极(即PMOS和NMOS金属栅极电极)的效用。通过调整它们各自的费米能级,调整单个金属层的选定部分用于PMOS和NMOS操作。Liang等人在一个示例中提出一种方法,其中将具有适于NMOS操作的功函数的N型金属层的选定部分曝露到富含氮(NH3或N2)的环境中,以将曝露的选定部分的功函数改变成适于PMOS操作的功函数。
Wakabayashi等人的美国专利6483151类似地建议提高氮化钛层的选定部分的氮含量从而在金属绝缘半导体场效应晶体管(MISFET)中产生双金属栅极电极。然而,这里建议将氮离子注入作为Liang等人提出的环境气氛曝露的替代品。美国专利6815285类似地使用氮离子注入从而选择性修改金属层的功函数。
Cha等人的美国专利6537901采用了稍微不同的方向。Cha等人在各处理条件下形成分隔开的金属层,从而产生具有不同的与PMOS相容和与NMOS相容的功函数的双金属栅极电极。然而,同样,不同量的氮最终确定两个金属层的各自的功函数。
Hiroyuki名下的日本专利公开号2004-111549使用选择性金属离子(镍)注入到已沉积的金属层(钽)中从而实现类似的结果。然而,金属的金属掺杂以产生被掺杂的金属层的功函数的改变是昂贵的,且有时产生矛盾的结果。结果,一种形式或其它形式的氮掺杂主导金属层功函数调节的常规方法。
发明内容
常规实践太受其应用的限制且受到应用中某些不确定性的困扰。相反,本发明提供用于调节金属层功函数的一种改进的方法以及相应的半导体器件。
在一个实施例中,本发明提供一种制造半导体器件的方法。该方法包括形成具有第一功函数的金属层,且通过用氟掺杂来调节该金属层的至少某选定部分的功函数。一旦用氟掺杂,该选定部分就会具有小于该第一功函数的第二功函数。氟掺杂可以通过多种方法中的任何一种实现,包括离子注入。在一种方法中,使用离子注入工艺从而产生高斯型浓度的掺杂剂分布。
金属层可以通过许多方法中的任何一种形成,包括物理气相沉积(PVD)、化学气相沉积(CVD)或原子层沉积(ALD)。
在另一实施例中,本发明提供一种方法,包括:形成具有第一功函数的金属层;通过用碳掺杂选定部分来调节该金属层的至少某选定部分的该第一功函数。一旦用碳掺杂,该选定部分就会具有大于该第一功函数的第二功函数。
在又一实施例中,本发明提供一种方法,其中首先在衬底中形成NMOS有源区和PMOS有源区,并且在这些区域上形成栅极绝缘层。之后,在该栅极绝缘层上形成具有第一功函数的金属层。通过用氟或碳掺杂选定部分来调节该金属层的该选定部分中的该第一功函数,使得该选定部分具有不同于该第一功函数的第二功函数。
在该情况中,该第一功函数可以是PMOS相容的,且该金属层的选定部分被用氟掺杂,从而产生与NMOS相容的第二功函数,或者该第一功函数是与NMOS相容的,且该金属层的选定部分被用碳掺杂,从而产生与PMOS相容的第二功函数。
在又一实施例中,本发明提供一种形成具有NMOS金属栅极结构和PMOS金属栅极结构的半导体器件的方法。该方法包括在栅极绝缘层上形成具有初始功函数的单个金属层和通过用氟或碳掺杂该金属层的选定部分来选择性调节该选定部分的功函数。以该方式,由该金属层的选定部分形成的第一金属栅极电极形成PMOS金属栅极结构或NMOS金属栅极结构,且由该金属层的除了该选定部分之外的一部分形成的第二金属栅极电极形成PMOS金属栅极结构和NMOS金属栅极结构中的另外一种。
在又一实施例中,本发明提供一种方法,包括:在衬底中形成NMOS有源区和PMOS有源区;在该衬底上形成栅极绝缘层从而覆盖该NMOS有源区和PMOS有源区;以及在该栅极绝缘层上形成具有中间带隙型功函数的金属层。然后通过用氟掺杂形成在该NMOS有源区上的该金属层的第一选定部分来调节该第一选定部分中的功函数,使得该第一选定部分具有与NMOS相容的功函数,且通过用碳掺杂形成在该PMOS有源区上的该金属层的第二选定部分来调节该第二选定部分中的功函数,使得该第二选定部分具有与PMOS相容的功函数。
在又一实施例中,本发明提供一种半导体器件,包括:NMOS金属栅极结构,其包括由金属层形成且用氟掺杂从而具有第一功函数的第一金属栅极电极;和/或PMOS金属栅极结构,其包括由金属层形成且用碳掺杂从而具有比该第一功函数大的第二功函数的第二金属栅极电极。
附图说明
结合附图所示的数个实施例描述本发明。全部附图中,相似的附图标记表示相似的示例性元件、部件或步骤。为了清楚起见,放大了各个层的相对厚度以及特定区域的相对尺寸。在图中:
图1是比较分别通过物理气相沉积(PVD)、化学气相沉积(CVD)和原子层沉积(ALD)工艺形成的示例性氮化钽(TaN)金属层的所得功函数的图;
图2A和2B是示出作为示例性金属层沉积过程的函数的杂质浓度的变化的曲线图;
图3A和3B是示出在处理条件即应用的热处理范围内初始金属层功函数的变化的曲线图;
图4是示出作为氟掺杂结果的金属层功函数的调节的曲线图;
图5A、5B、5C和5D是示出本发明一个示例性应用和实施例的一系列图;
图6A、6B和6C是示出本发明另一示例性应用和实施例的一系列图;以及
图7A、7B、7C、7D和7E是示出本发明又一示例性应用和实施例的一系列图。
具体实施方式
下面参考相应附图描述本发明的示例性实施例。这些实施例作为教导示例给出。本发明的实际范围由后面的权利要求定义。本领域普通技术人员将意识到,与各个半导体层和区域的形成相关使用的术语“在...上”描述一种关系,其中一个层/区域直接在另一个上,或者其中一个层/区域在另一个上,但是一个或更多插入层和/或区域分隔开所述两个层/区域。
在其他方面中,下面描述的本发明的示例性实施例示出了双金属栅极结构的形成和组成以及相关的作为整体的一部分的金属栅极电极。在该情况中,术语“双”指的是适于与不同晶体管类型一起使用的分隔开的栅极结构。在半导体器件的形成中使用的晶体管根据迁移经过它们的沟道区的主要载流子的类型被分为NMOS或PMOS。在NMOS晶体管中电子是主要载流子,而在PMOS晶体管中空穴是主要载流子。PMOS晶体管中PMOS栅极电极的功函数高于NMOS晶体管中NMOS栅极电极的功函数。适合于本发明优点的晶体管结构使用一个或更多金属层形成各PMOS和NMOS栅极电极。
本发明的概念基础来源于数个相关的认识。首先,上述常规技术一般使用氮掺杂来选择性调节金属层的功函数。金属层的氮掺杂,或者通过曝露到富含氮的气氛中或者通过氮原子的离子注入,具有有限范围的效用。因为氮容易成为公共工艺污染物,所以通常难以确定氮掺杂工艺和效果。
第二,近来的研究已经表明了金属掺杂剂的相对电负性(electronegativity)与所得金属层功函数之间的一般关系。例如,参考Gotoh等人在2003年7/8月发表于J.Vac.Sci.Technolo.B 21(4),pp1607-11的“Measurement of work function of transition metal nitride and carbide thinfilms”中的工作。这里,已经发现,“TaC的功函数约为5.0eV。碳化物的较高功函数可归因于与氮相比碳的较低的电负性。”Gotoh等人还指出,“功函数从纯金属的偏离是由金属原子与间隙原子之间的极化引起的。如果间隙原子具有较大的电负性,则金属原子将被充正电。这会导致功函数的减小。”最后,Gotoh等人断定“V族碳化物的功函数高于V族氮化物的功函数。”
第三,前述发现已经被广泛地误解。例如,参考已公开美国专利申请2004/0222474,其得到了非常错误的结论,即“添加有效量的具有较高电负性的材料将提高金属层的功函数,而添加有效量的具有较低电负性的材料将降低金属层的功函数。”在该文献中建议将铝和铈作为用于减小金属层功函数的优选元素。建议将氮、氯、氧、氟和溴作为提高金属层功函数的优选元素。
第四,金属层功函数的确定并不是简单的选择掺杂剂的问题。而是,用于形成金属层的金属类型、用于形成金属层的形成方法和形成金属层的工艺条件都与最终功函数的正确确定有关。
考虑到这些认识,参考图1所示的通过三种(3)示例性形成方法形成的氮化钽(TaN)层的初始功函数的变化。这里给出氮化钽的实验数据,但是这仅仅是可行金属层的一个方便的示例。已经注意到,许多金属层成分是可行的,且每种不同的金属层将呈现出随着用于形成它的形成方法变化的初始功函数。短语“初始功函数”指金属层形成之后,但在随后的特定设计来改变其功函数的掺杂工艺之前的金属层功函数。
在图1中,当使用等离子体气相沉积(PVD)形成方法时TaN层的初始功函数约为4.3eV。设计者期望金属层具有小的且良好控制的污染物时,PVD是一个优良的选择。也就是说,在PVD沉积的金属层中的杂质趋于较均匀且浓度低。当设计者预期随后施加的掺杂工艺将在很大程度上控制金属层的功函数的确定时,这些性质是合乎需要的。然而,对于许多PVD工艺来说厚度控制会成问题,结果经常难以获得薄的精确确定的金属层。
如图1所示,当使用原子层沉积(ALD)形成方法时,TaN层的初始功函数约为4.5eV。ALD工艺产生很好的、控制非常好的薄金属层,但非常慢。该缓慢的沉积速度在大规模制造操作的实用中是成问题的。
当使用化学气相沉积(CVD)形成方法时,TaN层的初始功函数约为4.8eV。CVD工艺廉价、快速且容易。厚度控制良好,但是必需认真考虑所得金属层中的杂质聚积。
从前述示例可看出,金属层形成(例如沉积)方法的选择关系到金属层初始(进而最终)功函数的确定。所以,相关处理条件的选择也是有关系的。参考图2A和2B,其是示出对于两种不同的金属层形成方法在处理时段范围内的AES(俄歇电子能谱)分析结果的曲线图。特定杂质的原子浓度表示为溅射时间(即处理时间)函数。图2A图示出对于形成TaN金属层的ALD工艺的杂质浓度。图2B图示出对于形成TaN金属层的CVD工艺的杂质浓度。
如果我们参考三(3)分钟之后的结果,则发现对ALD工艺来说碳(C)在4.2%原子浓度且氧(O)在14.2%浓度。相反,三(3)分钟CVD工艺之后碳和氧的原子浓度分别在8.7%和1.2%。
如将在下文的其他细节中看到的,在功函数的确定中必须仔细考虑所得金属层中某些杂质的相对浓度。在该情况下,杂质浓度不是坏的或好的事情——只是在确定金属层的初始功函数期间必须说明的一个因素。
众所周知,随后的金属层的热处理(例如加热处理)可以驱出某些杂质,且由此改变它的功函数,以及它的薄层电阻(Rs)。参考图3A和3B所示的示例性数据。该数据继续前面的使用CVD工艺形成的TaN金属层的有效示例。
如图3A和3B所示,TaN金属层的加热处理易于从金属层驱出(即向外扩散)氧原子,且从而提高功函数并降低薄层电阻。在大多数当代半导体器件的情况中期望用于形成双金属栅极电极的任何金属层能够很好地承受高至1000℃的处理温度。该对高温的一般耐受性允许金属层可以经受随后施加的处理步骤,而没有表现性能的损失或材料差异。
显示功函数根据金属层形成方法和处理条件而变化的前述示例可容易地外推到大量不同的金属层类型、形成方法和处理条件范围。在本发明的掺杂考虑可被有效地利用之前,需要充分理解由这些因素、其它因素的小心平衡得到的初始功函数。
在数个示例性实施例中,本发明寻求在双金属栅极电极的形成中使用单个薄金属层。单个金属层的使用最小化了工艺复杂性。
如基于对示例性实施例的考虑将理解的,本发明利用并拓展了关于间隙原子的相对电负性与所得金属层的功函数之间的潜在关系的早期发现。通过这样做,本发明断然拒绝得到完全相反结论的某些现有公开的教导。
本发明认识到,氮和氧是在沉积的金属层中通常发现的杂质。具体地,由于其在环境气氛中大量存在以及其易于作为浅施主包含在导体或半导体材料内,所以氮广泛存在于金属层中。结果,可以说用更多氮选择性掺杂金属层从而调节其功函数的常规方法存在风险,即存在大量的氮污染而掩盖了氮掺杂剂。
考虑到上述内容,本发明的实施例不同地提供一种金属层,该金属层用碳(C)或者氟(F)选择性掺杂从而调节其初始功函数。这些实施例依赖于结论:用碳(其具有较低电负性)掺杂易于提高金属层的功函数;以及用氟(其具有较高电负性)掺杂易于降低金属层的功函数。
例如,参考图4的曲线图所示的实验数据。这里,在整个图1-3的论述中用作有效示例的CVD沉积的TaN金属层通过用氟原子掺杂而被进一步修改。具体地,用1E15浓度的氟原子离子注入(“IIP”)40
Figure S051C9719620051219D000081
厚的TaN金属层。TaN金属层的功函数(WF)可定义为等式WF=VFB+5.0eV,其中VFB被称为平带电压(flat banded voltage)。因此,在用氟原子离子注入之前(“NoIIP”),TaN金属层的功函数约为4.75eV——非常好的与PMOS相容的功函数。在用氟原子离子注入之后(“F IIP”),TaN金属层的功函数约为4.35eV——非常好的与NMOS相容的功函数。
氟具有4.0的相对电负性且用氟原子掺杂的金属层将呈现出降低的功函数。相反,碳具有2.5的相对电负性且用碳原子掺杂的金属层将呈现出提高的功函数。考虑到这些理解,具有初始与PMOS相容的功函数(例如在约4.7到约5.0eV范围的功函数)的金属层可以通过用氟掺杂该金属层而在选定部分中被调节,从而形成与NMOS相容的功函数(即在约4.3至约4.5eV范围内的功函数)。供选地,具有初始与NMOS相容的功函数的金属层可以通过用碳掺杂该金属层而被选择性调节,从而形成具有与PMOS相容的功函数的金属层部分。以该方式,双功函数金属栅极电极可以使用与单掺杂工艺一样少的工艺由单个金属层形成。
图5A、5B、5C和5D(集体称为“图5”)示出在示例性半导体器件的形成期间前述概念的应用。从图5A开始,在衬底100中选择性形成隔离区102。衬底100可以由体硅或绝缘体上硅组合物形成。衬底100还可以包括一个或更多用锗、镓、砷化镓、锑化镓、锑化铟、砷化铟和磷化铟掺杂的区域,以上杂质作为所选示例但不限于此。隔离区102可以使用许多常规可用的技术中的一种来形成。
形成隔离区102之后,在衬底100中形成NMOS有源区104N和PMOS有源区104P。此后,在衬底100上形成栅极绝缘层106。栅极绝缘层106优选为高k栅极电介质层。
然后在栅极绝缘层106上形成金属层108。术语“金属层”包括由其可以形成适宜的金属栅极电极的任何导电材料。如上所述,术语“金属”具体包括金属化合物、金属合金、金属氮化物、金属硅化物、金属氧化物和所有的它们可能的组合。
在图5所示的实施例中,金属层108的特征在于与PMOS相容的功函数。例如,非常适合形成该与PMOS相容的金属层的具体金属包括:镍(Ni)、氧化钌(RuO)、氮化钼(MoN)、氮化钽(TaN)、硅化钼(MoSi2)和/或硅化钽(TaSi2)。
作为示例,可以在金属层108上提供由多晶硅和/或二氧化硅(SiO2)形成的缓冲层110。可以提供缓冲层110从而在随后应用的工艺期间保护部分金属层108。
然后使用常规技术,在金属层108上形成掩模图案(例如光致抗蚀剂图案)112。采用在适当位置的适当掩模图案,金属层108的选定部分被注入以氟(F)原子(114)。用于该工艺的氟原子的浓度以及注入条件(能量、温度、压强等等)将根据金属层108的材料成分、初始功函数(如由所用的沉积工艺和沉积条件所决定的)和厚度而改变。然而,在一个实施例中,所选离子注入工艺适于产生高斯型的掺杂剂浓度分布。
在NMOS有源区104N上形成金属层108的氟掺杂选定部分109。接着氟原子的注入,可以去除掩模图案112。
由于金属层108相对难以蚀刻,所以至少在一些实施例中其优选以相对薄的厚度(例如小于100
Figure S051C9719620051219D000101
)形成。该厚度通常不足以允许栅极电极到外部信号线的连接。该相对薄的厚度还阻碍了适于在栅极电极结构周围形成源和漏区的工艺的使用。因此,完成的栅极电极的厚度(即高度剖面(heightprofile))通常必须被增大。在一个实施例中这可以通过在包括氟掺杂的选定部分109的金属层108上形成额外的导电层116来实现。参见图5C。作为所选示例,额外的导电层116可以包括:多晶硅、难熔金属和/或难熔金属硅化物。
使用常规且公知的技术和工艺,构图包括氟掺杂的选定部分109的金属层108、连同所提供的额外的导电层116,从而形成完成的NMOS和PMOS栅极电极结构130N和130P。参见图5D。在所示示例中NMOS栅极电极结构130N通常包括堆叠结构,该堆叠结构包括栅极绝缘层的图案化部分106、选定部分的图案化部分109′、额外的导电层的图案化部分116′和形成在该堆叠结构的侧壁上的栅极间隔壁(spacer)118。在所示示例中PMOS栅极电极结构130P包括堆叠结构,该堆叠结构包括栅极绝缘层的图案化部分106、金属层的图案化部分108′、额外的导电层的图案化部分116′和形成在该堆叠结构的侧壁上的栅极间隔壁118。在形成NMOS和PMOS栅极电极结构之后,在衬底100中形成各个源和漏区。分别参见图5D中的元件120N和120P。
图6A、6B和6C(集体称为“图6”)还示出在形成另一示例性半导体器件期间前述概念的应用。如图6A所示,如以上与图5相关的描述一样地形成衬底100、隔离区102、NMOS有源区104N、PMOS有源区104P和栅极绝缘层106。然后在栅极绝缘层106上形成金属层208。
在图6所示的实施例中,金属层208的特征在于与NMOS相容的功函数。非常适于形成与NMOS相容的金属层的具体金属包括例如钌(Ru)、钽(Ta)、锆(Zr)和铌(Nb)。
可以再在金属层208上提供缓冲层110,然后在金属层208上形成掩模图案212。采用在合适位置的适当掩模图案,金属层208的选定部分被注入以碳原子(214)。同样,碳原子的浓度以及注入条件(能量、温度、压强等等)将根据金属层208的材料成分、初始功函数(如由所用的沉积工艺和沉积条件所确定的)和厚度而改变。
在PMOS有源区104P上形成金属层208的碳掺杂的选定部分209。在注入碳原子之后,可以去除掩模图案112。与前述实施例一样,在所得栅极电极需要额外高度的情形再形成额外的导电层116。
如图6C所示,然后构图包括碳掺杂的选定部分209的金属层208、连同所提供的额外的导电层116和栅绝缘层106,准备完成NMOS和PMOS栅极电极结构230N和230P。这样,在所示示例中,NMOS栅极电极结构230N通常包括栅极绝缘层的图案化部分106、金属层的图案化部分208′、额外的导电层的图案化部分116′和侧壁118。在所示示例中PMOS栅极电极结构230P包括栅极绝缘层的图案化部分106、选定部分的图案化部分209′、额外的导电层的图案化部分116′和侧壁118。
图7A、7B、7C、7D和7E(集体称为“图7”)又示出在又一示例性半导体器件的形成期间前述概念的应用。如图7A所示,衬底100、隔离区102、NMOS有源区104N、PMOS有源区104P和栅极绝缘层106的形成遵循图5的上下文给出的论述。然后在栅极绝缘层106上形成金属层308。
在图7所示的实施例中,单个金属层308的特征在于与未掺杂的硅的本征费米能级一致的功函数。该“与中间带隙型相容的(min-bandgap-compatible)”功函数通常在4.4和4.7eV之间的范围内。非常适于形成与中间带隙型相容的金属层的具体金属包括例如氮化钨(WN)和氮化钛(TiN)。
再在金属层308上形成缓冲层110。采用或不采用添加缓冲层110,第一掩模图案312或者形成在金属层308的与NMOS有源区104N相关的一部分上,或者形成在金属层308的与PMOS有源区104P相关的另一部分上。例如,如图7B所示,用第一掩模层312覆盖PMOS有源区104P。然后氟原子(314)被注入到与NMOS有源区104N相关的金属层308的第一选定部分中。与前述论述一致,氟掺杂的金属区309的特征在于与NMOS相容的功函数。
在金属层308的第一选定部分的加工(development)之后,去除第一掩模层312且在衬底100上形成第二掩模图案313覆盖NMOS有源区104N。然后在与PMOS有源区104P相关的金属层308的暴露的第二选定部分中注入碳原子(315)。参见图7C。碳掺杂的金属层310的特征在与PMOS相容的功函数。
如图7D和7E所示,在金属层308的选定的氟掺杂和碳掺杂部分的形成之后,使用常规构图和注入技术形成NMOS和PMOS栅极电极结构。如图7D中可以看到的,然后适当地构图栅极绝缘层106、金属层309的氟掺杂的(第一)选定部分和金属层310的碳掺杂的(第二)选定部分、以及额外的导电层116(分别表示为309′、310′和116′),准备形成NMOS和PMOS栅极电极结构。
在图7E中,在衬底100中形成栅极电极结构侧壁118以及各个源和漏区用于NMOS和PMOS晶体管,其分别为120N和120P。
侧壁几何形状与衬底100中源和漏区的形成之间的关系是常规公知的。实际上,形成具有足够高度的栅极电极结构的一个原因是为了随后形成具有足够厚度的侧壁。用于形成晶体管源和漏区的许多常规离子注入技术依赖于侧壁厚度,从而适当地定位离子注入。因此,本发明的一些实施例将需要或者厚的金属层或者形成在薄金属层上的一个或更多额外的导电层。因为金属通常比由例如多晶硅形成的额外的导电层更难以被蚀刻,所以这两种可能性中后者是优选的。
考虑前述全部内容,可以明白,可以由单个金属层形成具有适当功函数的双金属栅极电极。不需要多金属层沉积和构图。结果,使得用于生产包括双金属栅极结构的半导体器件的总体制造工艺更简单且更便宜。由于碳原子比氮具有更小的电负性且氟原子比氮具有更高的电负性,因此这些掺杂剂的一种或者两种的选择性使用比氮的单独使用提供增强的能力:或者提高或者降低金属层的功函数。
然而,金属层用碳和/或氟的灵活掺杂仅仅是金属层功函数的适当确定中的一个(最后的或将近最后的)步骤。金属层功函数的确定中的第一实践步骤是选择具体成分的金属。该选择将从宽范围的可能金属中进行。一些应用、器件或者存在的成本和/或处理局限会限制设计者金属的选择。其它应用和设计将在金属选择方面允许较大范围。
当选定了特定金属时,还应考虑非常适于沉积该预期金属的可能的形成(例如沉积)方法,以及相关的处理条件(例如热处理)。一个细致的设计者将在其它设计驱动因素(design driver)中平衡这些因素,从而为预期金属层确定初始功函数。初始功函数可以是与NMOS相容的、与PMOS相容的或者与中间带隙型相容的。所需初始功函数的范围的狭窄(narrowness)或灵活也是设计选择的主题,并被(或不被)设计者以实际浓度的碳和/或氟掺杂该金属层的能力所限制。
如已经论述过的,金属层厚度是另一个重要的设计标准。它影响随后的适于构图该金属层的蚀刻工艺的选择(或要求)。它还影响额外的导电层的使用(或不使用)。金属层厚度还定义碳和/或氟注入工艺的自然属性和性质。例如,不同的金属层厚度需要不同的掺杂剂浓度和不同的注入能量。
关于这点,已经在碳和氟注入工艺的上下文中描述了前述实施例。作为掺杂金属层的一种方法,离子注入是当前优选的,由于它提供掺杂剂原子的精确布置和对掺杂浓度的良好控制。然而,只要能够对金属层选定部分产生适当的功函数,可替换地或额外地使用其它掺杂技术(例如沉积工艺期间的原位金属层掺杂、曝露到富含掺杂剂的气氛中等等)。
已经利用普通晶体管结构教导了具有栅极电极的双金属栅极结构的制造和使用,该栅极电极具有与NMOS相容的和与PMOS相容的性能特性。本领域普通技术人员将能够将这些教导扩展到形成在多种衬底类型和栅极绝缘层上的多种具体晶体管类型。
实际上,本领域普通技术人员将意识到,在不脱离由所附权利要求定义的本发明的范围的情况下,本发明的广义概念可以被修改且适用于许多应用和设计。

Claims (52)

1.一种用于调节金属层的功函数的方法,包括:
形成具有第一功函数的金属层;
通过用氟(F)掺杂选定部分调节该金属层的选定部分的功函数,使得该选定部分具有小于该第一功函数的第二功函数。
2.如权利要求1所述的方法,其中用氟掺杂该金属层的所述选定部分包括选择性注入氟原子。
3.如权利要求1所述的方法,其中该第一功函数在4.7至5.3eV之间的范围,且其中该第二功函数在3.7至4.5eV之间的范围。
4.如权利要求3所述的方法,其中该第一功函数在4.7至5.0eV之间的范围,且其中该第二功函数在4.3至4.5eV之间的范围。
5.如权利要求1所述的方法,其中该金属层包括镍(Ni)、氧化钌(RuO)、氮化钼(MoN)、硅化钼(MoSi2)、硅化钽(TaSi2)和氮化钽(TaN)中的至少一种。
6.如权利要求1所述的方法,其中形成该金属层包括使用物理气相沉积(PVD)工艺、化学气相沉积(CVD)工艺或原子层沉积(ALD)工艺在所述衬底上沉积该金属层。
7.如权利要求6所述的方法,其中该金属层包括氮化钽,且其中形成该金属层包括使用CVD工艺在所述衬底上沉积氮化钽。
8.如权利要求6所述的方法,还包括:
对该金属层应用热处理。
9.如权利要求8所述的方法,其中在用氟掺杂之前和在用氟掺杂之后对该金属层应用该热处理至少一次。
10.一种用于形成半导体器件的方法,包括:
在衬底中形成NMOS有源区和PMOS有源区;
在所述衬底上形成栅极绝缘层覆盖该NMOS有源区和该PMOS有源区;
在该栅极绝缘层上形成具有第一功函数的金属层;
通过用氟掺杂该金属层的选定部分从而降低该第一功函数或者用碳掺杂该金属层的选定部分从而提高该第一功函数,来调节该选定部分中的所述第一功函数,使得该选定部分具有与该第一功函数不同的第二功函数。
11.如权利要求10所述的方法,其中该第一功函数是与PMOS相容的,且用氟掺杂该选定部分从而使该第二功函数与NMOS相容。
12.如权利要求11所述的方法,其中该金属层包括镍(Ni)、氧化钌(RuO)、氮化钼(MoN)、硅化钼(MoSi2)、硅化钽(TaSi2)和氮化钽(TaN)中的至少一种。
13.如权利要求10所述的方法,其中该第一功函数是与NMOS相容的,且用碳掺杂该选定部分从而使该第二功函数与PMOS相容。
14.如权利要求13所述的方法,其中该金属层包括钌(Ru)、锆(Zr)、铌(Nb)和钽(Ta)中的至少一种。
15.如权利要求10所述的方法,还包括:
在该金属层上形成缓冲层。
16.如权利要求15所述的方法,其中该缓冲层包括多晶硅和二氧化硅(SiO2)中的至少一种。
17.如权利要求10所述的方法,其中调节该选定部分中的功函数还包括:
在该金属层除该选定部分之外的部分上形成掩模图案;以及
在该选定部分中离子注入氟原子或碳原子。
18.如权利要求17所述的方法,还包括:
在该金属层上形成额外的导电层。
19.如权利要求18所述的方法,其中该额外的导电层包括多晶硅、难熔金属和难熔金属硅化物中的至少一种。
20.如权利要求18所述的方法,还包括:
通过选择性构图该栅极绝缘层、包括该选定部分的该金属层、以及该额外的导电层,在该PMOS有源区上形成PMOS金属栅极结构且在该NMOS有源区上形成NMOS金属栅极结构。
21.如权利要求20所述的方法,其中该PMOS金属栅极结构和NMOS金属栅极结构包括侧壁,且其中该方法还包括:
使用各自的侧壁作为注入掩模,在该NMOS和PMOS有源区中与该PMOS和NMOS金属栅极结构相关地形成各自的源和漏区。
22.一种用于形成半导体器件的方法,包括:
在衬底中形成NMOS有源区和PMOS有源区;
在该衬底上形成栅极绝缘层覆盖该NMOS有源区和该PMOS有源区;
在该栅极绝缘层上形成具有中间带隙型功函数的金属层;
通过用氟掺杂第一选定部分调节形成在该NMOS有源区上的该金属层的该第一选定部分中的功函数,使得该第一选定部分具有与NMOS相容的功函数;以及
通过用碳掺杂第二选定部分调节形成在该PMOS有源区上的该金属层的该第二选定部分中的功函数,使得该第二选定部分具有与PMOS相容的功函数,
其中,氟掺杂降低功函数,碳掺杂提高功函数。
23.如权利要求22所述的方法,其中该金属层包括氮化钨(WN)和氮化钛(TiN)中的至少一种。
24.如权利要求22所述的方法,还包括:
在该金属层上形成缓冲层。
25.如权利要求24所述的方法,其中该缓冲层包括多晶硅和二氧化硅(SiO2)中的至少一种。
26.如权利要求22所述的方法,其中调节该金属层的该第一选定部分中的功函数还包括:
在该金属层的该第二选定部分上形成第一掩模图案,且在该金属层的该第一选定部分中离子注入氟原子。
27.如权利要求26所述的方法,其中调节该金属层的该第二选定部分中的功函数还包括:
在该金属层的该第一选定部分上形成第二掩模图案,且在该金属层的该第二选定部分中离子注入碳原子。
28.如权利要求22所述的方法,还包括:
在该金属层上形成额外的导电层。
29.如权利要求28所述的方法,其中该额外的导电层包括多晶硅、难熔金属和难熔金属硅化物中的至少一种。
30.如权利要求28所述的方法,还包括:
通过选择性构图该栅极绝缘层、包括该第一和第二选定部分的所述金属层、以及该额外的导电层,在该PMOS有源区上形成PMOS金属栅极结构且在该NMOS有源区上形成NMOS金属栅极结构;
其中该NMOS金属栅极结构部分地由该金属层的该第一选定部分形成,且该PMOS金属栅极结构部分地由该金属层的该第二选定部分而不是该金属层的该第一选定部分形成。
31.如权利要求30所述的方法,其中该PMOS金属栅极结构和该NMOS金属栅极结构包括侧壁,且其中该方法还包括:
使用各自的侧壁作为注入掩模,在与该PMOS和该NMOS金属栅极结构相关的该NMOS和该PMOS有源区中形成各自的源和漏区。
32.一种形成具有NMOS金属栅极结构和PMOS金属栅极结构的半导体器件的方法,该方法包括:
在栅极绝缘层上形成具有初始功函数的单个金属层;
通过用氟或碳掺杂选定部分来选择性调节该金属层的该选定部分的功函数,其中,氟掺杂降低功函数,碳掺杂提高功函数;
其中该PMOS金属栅极结构或该NMOS金属栅极结构中的一种由第一金属栅极电极形成,该第一金属栅极电极由该金属层的该选定部分形成,且该PMOS金属栅极结构或该NMOS金属栅极结构中的另一种由第二金属栅极电极形成,该第二金属栅极电极由该金属层的除该选定部分之外的部分形成。
33.一种在金属层各部分中定义双功函数的方法,包括:
选择金属类型、适于该金属类型的形成工艺和相关工艺条件,从而形成具有初始功函数的该金属层;
用碳和氟中的至少一种选择性掺杂该金属层,从而形成具有不同于该初始功函数的功函数的至少一部分该金属层,
其中,氟掺杂降低功函数,碳掺杂提高功函数。
34.如权利要求33所述的方法,其中该形成工艺包括物理气相沉积(PVD)工艺、化学气相沉积(CVD)工艺或原子层沉积(ALD)工艺。
35.如权利要求34所述的方法,其中该相关工艺条件中的一种包括热处理的应用。
36.如权利要求33所述的方法,其中用碳和氟中的至少一种选择性掺杂该金属层包括:
用碳选择性掺杂该金属层从而形成该金属层的具有不同于该初始功函数的第一功函数的第一部分;以及
用氟选择性掺杂该金属层从而形成该金属层的具有不同于该初始功函数和该第一功函数的第二功函数的第二部分。
37.一种半导体器件,包括至少以下之一:
NMOS金属栅极结构,包括由用氟掺杂从而具有第一功函数的金属层形成的第一金属栅极电极;以及
PMOS金属栅极结构,包括由用碳掺杂从而具有第二功函数的该金属层形成的第二金属栅极电极,
其中,氟掺杂降低功函数,碳掺杂提高功函数。
38.如权利要求37所述的半导体器件,其中该第二功函数大于该第一功函数。
39.如权利要求37的半导体器件,其中沿该金属层的厚度该金属层被掺杂以高斯型浓度分布的碳原子。
40.如权利要求37所述的半导体器件,其中该金属层被掺杂以沿该金属层的厚度以高斯型浓度分布的氟原子。
41.如权利要求37所述的半导体器件,其中所述NMOS和PMOS金属栅极结构中的至少之一包括形成在该金属层上的额外的导电层,从而为所述NMOS和PMOS金属栅极结构中的至少之一定义剖面高度。
42.如权利要求41所述的半导体器件,其中该金属层形成为小于
Figure FSB00000042803500051
的厚度。
43.如权利要求42所述的半导体器件,其中该额外的导电层形成为大于
Figure FSB00000042803500052
的厚度。
44.如权利要求37所述的半导体器件,其中该第一功函数在3.7至4.5eV之间的范围,且其中该第二功函数在4.7至5.3eV之间的范围。
45.一种半导体器件,包括:
形成在衬底中的NMOS和PMOS有源区;
栅极绝缘层,其形成在所述衬底上该NMOS和PMOS有源区之上;
NMOS金属栅极结构,其形成在该NMOS有源区上所述栅极绝缘层上,且包括由金属层形成并用氟掺杂从而具有第一功函数的第一金属栅极电极;以及
PMOS金属栅极结构,其形成在该PMOS有源区上所述栅绝缘层上,且包括由金属层形成并具有大于该第一功函数的第二功函数的第二金属栅极电极,
其中,氟掺杂降低功函数。
46.如权利要求45所述的半导体器件,其中该第一功函数在3.7至4.5eV之间的范围,且其中该第二功函数在4.7至5.3eV之间的范围。
47.如权利要求46所述的半导体器件,其中该金属层包括镍(Ni)、氧化钌(RuO)、氮化钼(MoN)、硅化钼(MoSi2)、硅化钽(TaSi2)和氮化钽(TaN)中的至少一种。
48.如权利要求47所述的半导体器件,其中利用物理气相沉积(PVD)工艺、化学气相沉积(CVD)工艺或原子层沉积(ALD)工艺形成该金属层。
49.如权利要求45所述的半导体器件,其中该NMOS和PMOS金属栅极结构还包括形成在该金属层上从而定义剖面高度的额外的导电层。
50.如权利要求49所述的半导体器件,其中该金属层形成为小于的厚度,且该额外的导电层形成为大于
Figure FSB00000042803500062
的厚度。
51.如权利要求50所述的半导体器件,其中该NMOS和PMOS金属栅极结构包括由该额外的导电层的图案化部分形成的堆叠结构和形成在该堆叠结构的侧壁上的栅极间隔壁,所述额外的导电层的图案化部分形成在该金属层的图案化部分上,所述金属层的图案化部分形成在该栅极绝缘层的图案化部分上。
52.如权利要求51所述的半导体器件,还包括:
形成在该NMOS和PMOS金属栅极结构的相对侧该衬底中的源和漏区。
CN2005101297196A 2004-12-01 2005-12-01 双功函数金属栅极结构及其制造方法 Active CN1812054B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US63188704P 2004-12-01 2004-12-01
US60/631,887 2004-12-01
KR1020050014719A KR101147868B1 (ko) 2005-02-22 2005-02-22 이중 일함수 금속 게이트 전극들을 갖는 반도체 소자의 제조방법 및 그에 의하여 제조된 반도체 소자
KR14719/05 2005-02-22
US11/192,288 US7514310B2 (en) 2004-12-01 2005-07-29 Dual work function metal gate structure and related method of manufacture
US11/192,288 2005-07-29

Publications (2)

Publication Number Publication Date
CN1812054A CN1812054A (zh) 2006-08-02
CN1812054B true CN1812054B (zh) 2010-12-08

Family

ID=36441934

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005101297196A Active CN1812054B (zh) 2004-12-01 2005-12-01 双功函数金属栅极结构及其制造方法

Country Status (4)

Country Link
US (1) US7514310B2 (zh)
JP (2) JP5154012B2 (zh)
CN (1) CN1812054B (zh)
DE (1) DE102005058139B4 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103066019A (zh) * 2011-10-19 2013-04-24 中芯国际集成电路制造(上海)有限公司 Cmos晶体管及制作方法、nmos晶体管及制作方法

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100629267B1 (ko) * 2004-08-09 2006-09-29 삼성전자주식회사 듀얼-게이트 구조를 갖는 집적회로 소자 및 그 제조 방법
JP4607645B2 (ja) 2005-04-04 2011-01-05 株式会社東芝 半導体装置及びその製造方法
JP2006344634A (ja) * 2005-06-07 2006-12-21 Renesas Technology Corp Cmos型半導体装置の製造方法および、cmos型半導体装置
US20070059874A1 (en) * 2005-07-06 2007-03-15 Sematech, Inc. Dual Metal Gate and Method of Manufacture
US7470577B2 (en) * 2005-08-15 2008-12-30 Texas Instruments Incorporated Dual work function CMOS devices utilizing carbide based electrodes
US20070037333A1 (en) * 2005-08-15 2007-02-15 Texas Instruments Incorporated Work function separation for fully silicided gates
US8188551B2 (en) * 2005-09-30 2012-05-29 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7332433B2 (en) * 2005-09-22 2008-02-19 Sematech Inc. Methods of modulating the work functions of film layers
US7550336B2 (en) * 2005-11-25 2009-06-23 United Microelectronics Corp. Method for fabricating an NMOS transistor
DE102006001997B4 (de) * 2006-01-16 2007-11-15 Infineon Technologies Ag Halbleiterschaltungsanordnung
US7445976B2 (en) * 2006-05-26 2008-11-04 Freescale Semiconductor, Inc. Method of forming a semiconductor device having an interlayer and structure therefor
KR100753558B1 (ko) 2006-08-21 2007-08-30 삼성전자주식회사 씨모스 트랜지스터 및 그 제조 방법
US7612422B2 (en) * 2006-12-29 2009-11-03 Texas Instruments Incorporated Structure for dual work function metal gate electrodes by control of interface dipoles
JP2008244331A (ja) * 2007-03-28 2008-10-09 Toshiba Corp 半導体装置およびその製造方法
JP2008251955A (ja) * 2007-03-30 2008-10-16 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
US20080290416A1 (en) * 2007-05-21 2008-11-27 Taiwan Semiconductor Manufacturing Co., Ltd. High-k metal gate devices and methods for making the same
CN101447421B (zh) * 2007-11-28 2010-09-22 中国科学院微电子研究所 一种制备金属栅电极的方法
CN101981688B (zh) * 2008-04-02 2014-04-02 Imec公司 制造半导体器件的方法以及半导体器件
JP5208569B2 (ja) * 2008-04-25 2013-06-12 株式会社東芝 半導体装置
US8753936B2 (en) * 2008-08-12 2014-06-17 International Business Machines Corporation Changing effective work function using ion implantation during dual work function metal gate integration
US8003507B2 (en) * 2008-08-18 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of integrating high-K/metal gate in CMOS process flow
US8778754B2 (en) 2008-09-15 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a single metal that performs N and P work functions in high-K/metal gate devices
US7994051B2 (en) * 2008-10-17 2011-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Implantation method for reducing threshold voltage for high-K metal gate device
KR101578520B1 (ko) 2008-10-20 2015-12-18 삼성전자주식회사 반도체 소자 및 그 형성방법
JP5559567B2 (ja) 2010-02-24 2014-07-23 パナソニック株式会社 半導体装置
KR101675459B1 (ko) 2010-07-02 2016-11-11 삼성전자 주식회사 전극 구조체 및 그 제조방법, 및 전극 구조체를 포함하는 반도체 소자
US8614467B2 (en) * 2011-04-07 2013-12-24 Nanya Technology Corp. Method of gate work function adjustment and metal gate transistor
CN102956455B (zh) * 2011-08-19 2015-05-20 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN103311247B (zh) * 2012-03-14 2016-07-13 中国科学院微电子研究所 半导体器件及其制造方法
US8828834B2 (en) 2012-06-12 2014-09-09 Globalfoundries Inc. Methods of tailoring work function of semiconductor devices with high-k/metal layer gate structures by performing a fluorine implant process
CN103811319B (zh) * 2012-11-08 2018-06-08 中芯国际集成电路制造(上海)有限公司 一种形成高k金属栅极的方法
CN103855094A (zh) * 2012-11-30 2014-06-11 中国科学院微电子研究所 半导体器件及其制造方法
US9263270B2 (en) 2013-06-06 2016-02-16 Globalfoundries Inc. Method of forming a semiconductor device structure employing fluorine doping and according semiconductor device structure
CN104347411B (zh) * 2013-08-01 2018-04-13 中国科学院微电子研究所 金属栅电极等效功函数调节方法
CN104979177B (zh) * 2014-04-04 2017-12-01 中芯国际集成电路制造(上海)有限公司 栅极结构及其制作方法
US9947540B2 (en) 2015-07-31 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Pre-deposition treatment and atomic layer deposition (ALD) process and structures formed thereby
JP6538604B2 (ja) * 2016-03-30 2019-07-03 株式会社Kokusai Electric 半導体装置の製造方法および基板処理装置
CN107564863B (zh) * 2016-06-30 2020-10-16 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US10103065B1 (en) 2017-04-25 2018-10-16 International Business Machines Corporation Gate metal patterning for tight pitch applications
KR102295721B1 (ko) * 2017-09-08 2021-08-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN109979802B (zh) * 2017-12-28 2020-12-22 中国科学院苏州纳米技术与纳米仿生研究所 高功函数可调的过渡金属氮化物材料、其制备方法及应用
CN111223918B (zh) * 2018-11-23 2023-12-29 中国科学院苏州纳米技术与纳米仿生研究所 P型半导体低阻欧姆接触结构及其制备方法
CN110010758A (zh) * 2019-03-28 2019-07-12 浙江森尼克半导体有限公司 一种磷掺锑化铟薄膜、霍尔传感器件及其制备方法
US11562923B2 (en) 2020-05-05 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor arrangement including a first electrical insulator layer and a second electrical insulator layer and method of making
CN117438450A (zh) * 2022-07-14 2024-01-23 长鑫存储技术有限公司 半导体结构及制备方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4363694B2 (ja) * 1998-04-17 2009-11-11 株式会社東芝 イオン注入装置および半導体装置の製造方法
US6130123A (en) * 1998-06-30 2000-10-10 Intel Corporation Method for making a complementary metal gate electrode technology
JP3613113B2 (ja) * 2000-01-21 2005-01-26 日本電気株式会社 半導体装置およびその製造方法
JP2002134741A (ja) * 2000-10-20 2002-05-10 Seiko Epson Corp 半導体装置とその製造方法
US6537901B2 (en) * 2000-12-29 2003-03-25 Hynix Semiconductor Inc. Method of manufacturing a transistor in a semiconductor device
JP2003273350A (ja) * 2002-03-15 2003-09-26 Nec Corp 半導体装置及びその製造方法
KR100476926B1 (ko) * 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
JP2004111549A (ja) 2002-09-17 2004-04-08 Seiko Epson Corp 半導体装置の製造方法
JP2004207481A (ja) * 2002-12-25 2004-07-22 Renesas Technology Corp 半導体装置およびその製造方法
US6890807B2 (en) * 2003-05-06 2005-05-10 Intel Corporation Method for making a semiconductor device having a metal gate electrode
US6936508B2 (en) * 2003-09-12 2005-08-30 Texas Instruments Incorporated Metal gate MOS transistors and methods for making the same
US6974764B2 (en) 2003-11-06 2005-12-13 Intel Corporation Method for making a semiconductor device having a metal gate electrode
US7064050B2 (en) * 2003-11-28 2006-06-20 International Business Machines Corporation Metal carbide gate structure and method of fabrication
US7528024B2 (en) * 2004-05-24 2009-05-05 Texas Instruments Incorporated Dual work function metal gate integration in semiconductor devices
US7390709B2 (en) 2004-09-08 2008-06-24 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US20060084217A1 (en) * 2004-10-20 2006-04-20 Freescale Semiconductor, Inc. Plasma impurification of a metal gate in a semiconductor fabrication process
US20070059874A1 (en) * 2005-07-06 2007-03-15 Sematech, Inc. Dual Metal Gate and Method of Manufacture

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103066019A (zh) * 2011-10-19 2013-04-24 中芯国际集成电路制造(上海)有限公司 Cmos晶体管及制作方法、nmos晶体管及制作方法
CN103066019B (zh) * 2011-10-19 2015-07-08 中芯国际集成电路制造(上海)有限公司 Cmos晶体管及制作方法、nmos晶体管及制作方法

Also Published As

Publication number Publication date
US20060115940A1 (en) 2006-06-01
JP5490188B2 (ja) 2014-05-14
JP2012235143A (ja) 2012-11-29
CN1812054A (zh) 2006-08-02
DE102005058139A1 (de) 2006-06-08
JP2006157015A (ja) 2006-06-15
DE102005058139B4 (de) 2011-12-15
JP5154012B2 (ja) 2013-02-27
US7514310B2 (en) 2009-04-07

Similar Documents

Publication Publication Date Title
CN1812054B (zh) 双功函数金属栅极结构及其制造方法
US6890807B2 (en) Method for making a semiconductor device having a metal gate electrode
US6265258B1 (en) Method for making a complementary metal gate electrode technology
US6872613B1 (en) Method for integrating metals having different work functions to form CMOS gates having a high-k gate dielectric and related structure
US7233035B2 (en) Dual work function gate electrodes using doped polysilicon and a metal silicon germanium compound
US20070037343A1 (en) Process for manufacturing dual work function metal gates in a microelectronics device
US7745887B2 (en) Dual work function metal gate structure and related method of manufacture
EP2068351A1 (en) Floating gate non-volatile memory device and method for manufacturing same
CN102194681B (zh) 制造半导体装置的方法
US20080093699A1 (en) Semiconductor device and method of manufacturing the same
CN102460683A (zh) 包含金属栅极与形成于绝缘结构上的含硅电阻器的半导体装置
CN103354238A (zh) 半导体器件
CN101304031A (zh) 电路结构及其制造方法
JP2007073660A (ja) 半導体装置およびその製造方法
US7125762B2 (en) Compensating the workfunction of a metal gate transistor for abstraction by the gate dielectric layer
US20070026596A1 (en) Gate electrode structure and method of forming the same, and semiconductor transistor having the gate electrode structure and method of manufacturing the same
KR20060097605A (ko) 반도체 장치 및 그 제조 방법
TWI390640B (zh) 半導體製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant