CN1762056A - 具有拉伸应变基片的mosfet器件及其制备方法 - Google Patents

具有拉伸应变基片的mosfet器件及其制备方法 Download PDF

Info

Publication number
CN1762056A
CN1762056A CNA2004800074546A CN200480007454A CN1762056A CN 1762056 A CN1762056 A CN 1762056A CN A2004800074546 A CNA2004800074546 A CN A2004800074546A CN 200480007454 A CN200480007454 A CN 200480007454A CN 1762056 A CN1762056 A CN 1762056A
Authority
CN
China
Prior art keywords
layer
silicon
deposition
etch stop
grid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800074546A
Other languages
English (en)
Other versions
CN1762056B (zh
Inventor
M-V·努
P·R·贝塞尔
林明仁
汪海宏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of CN1762056A publication Critical patent/CN1762056A/zh
Application granted granted Critical
Publication of CN1762056B publication Critical patent/CN1762056B/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/90MOSFET type gate sidewall insulating spacer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/933Germanium or silicon or Ge-Si on III-V

Abstract

一个示例性实施例涉及一种形成金属氧化物半导体场效应晶体管(MOSFET)的方法。该方法包括提供其上形成有栅极(54)的基片(40)并且至少进行以下沉积步骤中的一种:沉积隔片层并在位于基片(40)上的硅层(42)之上的栅极(54)与栅极绝缘体(56)周围形成隔片(60);在隔片(60)、栅极(54)与硅层(42)上沉积蚀刻终止层(63);以及在蚀刻终止层(63)上沉积介电层(65)。沉积隔片、沉积蚀刻终止层(63)与沉积介电层(65)中的至少一个包括高压缩沉积,该高压缩沉积增大了硅层(42)中的拉伸应变。

Description

具有拉伸应变基片的MOSFET器件及其制备方法
技术领域
本发明一般涉及集成电路与制备集成电路的方法。更具体地,本发明涉及具有拉伸应变基片(tensile strained substrate)的半导体与制备这种半导体的方法。
背景技术
半导体制备商使用极其多样的技术来改善诸如金属氧化物半导体场效应晶体管(MOSFETs)的半导体器件的性能。图1显示了传统的MOSFET器件。图1的MOSFET制备在被浅槽隔离(shallow trenchisolation)12所限定的有源区内的半导体基片10之上,该浅槽隔离12从制备在基片10上的其它IC组件电隔离出MOSFET的有源区。
MOSFET包含栅极电极14,该栅极电极14通过诸如硅氧化物(silicon oxide)或氧化物-氮化物-氧化物(ONO)的薄第一栅极绝缘体16而与基片10中的沟道区隔离开。为了将栅极14的电阻最小化,栅极14通常由诸如多晶硅的掺杂半导体材料而形成。
MOSFET的源极与漏极提供为形成在栅极14的相对面(opposingsides)的深源极与漏极区(deep source and drain regions)18。源极与漏极硅化物20形成在源极与漏极区18之上,并且包含含有基片半导体材料与诸如钴(Co)或镍(Ni)的金属的化合物,以降低源极与漏极区18的接触电阻。源极与漏极区18形成得足够深以延伸超出源极与漏极硅化物20所形成到的深度。在隔片(spacer)28形成在栅极14与栅极绝缘体16的周围之后注入源极与漏极区18,其用来作为注入掩模以相对于栅极下的沟道区定义出源极与漏极区18的横向位置。
栅极14同样地也有硅化物24形成在其上表面上。包含多晶硅材料及覆盖硅化物的栅极结构有时也称为多晶硅-硅化物栅极(polycidegate)。
MOSFET的源极与漏极还包含浅源极与漏极延伸(shallow sourceand drain extensions)26。随着MOSFET的尺寸缩小,由源极与漏极之间的窄小距离所造成的短沟道效应会导致MOSFET性能的降低。在沟道末端附近使用浅源极与漏极延伸26而非深源极与漏极区有助于降低短沟道效应。浅源极与漏极延伸是在隔片22形成之前注入的,栅极14则作为注入掩模以相对于沟道区18而定义出浅源极与漏极延伸26的横向位置。随后退火期间的扩散会导致浅源极与漏极延伸26在栅极14下略为延伸。
一种用来提高MOSFET性能的选择是提高硅的载流子迁移率,以减小电阻与能耗并增大驱动电流、频率响应以及操作速度。一种已成为近来关注焦点的提高载流子迁移率的方法是使用施加了拉伸应变的硅材料。
“应变(Strained)”硅可通过在硅锗基片上生长硅层来形成。硅锗晶格由于在晶格中存在着较大的锗原子,所以一般比纯硅晶格间隔得更开。因为硅晶格的原子与间隔得更开的硅锗晶格对准,所以在硅层中会产生拉伸应变。硅原子基本上被彼此拉开。施加到硅晶格的拉伸应变量会随着硅锗晶格中锗的比例而增大。
无应变硅(relaxed silicon)有六个相等的价带。将拉伸应变施加到硅晶格会导致四个价带的能量升高,而两个价带的能量降低。作为量子效应的结果,当电子穿越较低的能带时,电子有效地减少30%的重量。因此较低的能带对电流具有较小的阻力。除此之外,电子会从硅原子核受到较小的振动能,这造成电子比在无应变硅中的散射小500至1000倍。结果,与无应变硅相比,应变硅中的载流子迁移率大幅地提高,可使电子的迁移率潜在提高80%或更多而使空穴的迁移率潜在提高20%或更多。已发现迁移率的提高对高达1.5百万伏特/厘米的电场仍可保持。相信这些因素能使器件速度提高35%而不用进一步减小器件尺寸,或能使能耗降低25%而不会降低性能。
使用了应变硅层的MOSFET的例子显示在图2中。该MOSFET制备在基片上,该基片包含其上形成有应变硅的外延层32的硅锗层30。该MOSFET使用了传统的MOSFET结构,该传统的MOSFET结构包括深源极与漏极区18、浅源极与漏极延伸26、栅极氧化物层16、由隔片28、22所围绕的栅极14、硅化物源极与漏极接触20、硅化物栅极接触24以及浅槽隔离12。该MOSFET的沟道区包括在源极与漏极之间提供提高的载流子迁移率的应变硅材料。
图2所示这种类型的应变硅MOSFETs的一个缺点是硅锗的能隙小于硅的能隙。换言之,与在硅晶格中相比,在硅锗晶格中将电子移动到导带中所需的能量平均而言会更低。因而,源极与漏极区形成在硅锗中的器件的结漏泄(junction leakage)会大于源极与漏极区形成在硅中的相当器件的结漏泄。
图2所示这种类型的应变硅MOSFETs的另一个缺点是硅锗的介电常数高于硅的介电常数。因而,加入有硅锗的MOSFETs展现出较高的寄生电容,这增大了器件能耗并减小了驱动电流以及频率响应。
因此,在MOSFET中加入硅锗的设计所取得的优点会被使用硅锗基片所导致的缺点部分抵消。
于是,需要一种MOSFET制备过程,其中,通过在硅顶部上的高度压缩沉积(highly compressive deposition)而将硅予以应变。此外,需要增大在硅MOSFET中的拉伸应变而不改变硅锗层。更进一步,需要用应变硅来提高载流子迁移率。
发明内容
一个示例性实施例涉及一种形成金属氧化物半导体场效应晶体管(MOSFET)的方法。该方法包括提供其上形成有栅极的基片并且至少进行以下沉积步骤中的一种:沉积隔片层并在位于基片上的硅层之上的栅极与栅极绝缘体周围形成隔片;在隔片、栅极与硅层上沉积蚀刻终止层;以及在蚀刻终止层上沉积介电层。沉积隔片、沉积蚀刻终止层与沉积介电层中的至少一个包括高压缩沉积(high compressiondeposition),该高压缩沉积增大了硅层中的拉伸应变。
另一个示例性实施例涉及一种形成金属氧化物半导体场效应晶体管(MOSFET)的方法,包括提供基片,该基片包含其上形成有硅材料层的硅锗层、形成在该硅层上的至少一层栅极绝缘层与形成在该栅极绝缘层上的栅极导电层。该方法还包括将栅极导电层与栅极绝缘层图案化,以在硅层上形成栅极与栅极绝缘体;在栅极与栅极绝缘体周围形成隔片;在隔片与栅极之上形成蚀刻终止层,以及在高度压缩沉积过程中在蚀刻终止层上形成层间介电层,该高度压缩沉积过程压缩了硅层,导致了硅层中增大的拉伸应变。
又一个示例性实施例涉及一种制备晶体管的方法,该方法包括在硅层上提供栅极,其中该栅极具有紧邻该栅极的横向侧壁的隔片;在该栅极与隔片上形成蚀刻终止层,其中该蚀刻终止层在高压缩沉积中形成,导致硅层中的应变;以及在蚀刻终止层上形成介电层,其中该介电层在高压缩沉积中形成,导致硅层中的应变。
在阅读了以下的附图、详细说明以及所附的权利要求之后,本发明的其它主要特征与优点对本领域技术人员而言将是显而易见的。
附图说明
以下将参照附图来说明本发明的示例性实施例,其中同样的数字表示同样的组件,以及:
图1是依照传统加工所形成的传统MOSFET的示意剖面图;
图2是依照用来形成图1的MOSFET的传统过程所形成的应变硅MOSFET器件的示意剖面图;
图3a-3e是在依照一个示例性实施例来制备MOSFET器件期间所形成的结构的示意剖面图;以及
图4是包含一个示例性实施例与替代性实施例的流程图。
具体实施方式
图3a-3i示意了在依照一个示例性实施例来制备应变硅MOSFET期间所形成的结构。图3a显示了包含硅锗层40的结构,该硅锗层40具有形成在其表面上的硅外延层42。硅锗层40优选具有成分Si1-xGex,其中x约为0.2,并且更一般地是在0.1至0.3的范围。
硅锗层40通常生长在硅晶片上。硅锗可通过,例如,化学气相沉积而生长,使用Si2H6(乙硅烷,disilane)与GeH4(锗烷,germane)作为源气(source gases),基片温度为600℃至900℃,Si2H6分压为30mPa,GeH4分压为60mPa。SiH4(硅甲烷,silane)可用在替代性的过程中。硅锗材料的生长可用这些比例而起始,或替代性地,GeH4分压可以从低压或零压开始逐渐增加以形成梯度成分。可依照特定的应用来确定硅锗层的厚度。其上生长有应变硅层42的硅锗基片40的上部分应具有均匀的成分。
硅层42优选通过化学气相沉积(CVD)而生长,使用分压为30mPa的Si2H6作为源气,基片温度约为600℃至900℃。硅层42优选生长到200nm的厚度。
如图3a进一步显示,栅极绝缘层44形成在硅层42之上。栅极绝缘层44通常是硅氧化物,但可以是诸如氧化物-氮化物-氧化物(ONO)的另一种材料。氧化物可通过应变硅层的热氧化而生长,但是优选通过化学气相沉积而沉积。
形成在栅极绝缘层44之上的是栅极导电层46。栅极导电层46通常包含多晶硅,但替代性地可包含诸如注入有锗的多晶硅的另一种材料。
在栅极导电层46之上是包含底部硬掩模层48和顶部硬掩模层50的双层硬掩模(bi-layer hardmask)结构,该底部硬掩模层48也被称为底部消反射涂层(bottom antireflective coating,BARC)。底部硬掩模层48通常是硅氧化物(例如,SiO2),而顶部硬掩模层50则通常是硅氮化物(silicon nitride)(例如,Si3N4)。
硅锗基片还在其中形成有浅槽隔离52。可通过在硅锗层40与硅层42中形成具有楔形(tapered)侧壁的沟槽、进行短暂的热氧化并且随后沉积硅氧化物层至足以填充沟槽的厚度来形成浅槽隔离,该硅氧化物的沉积可通过诸如低压CVD(LPCVD)TEOS或大气压臭氧TEOS。然后,该硅氧化物层通过诸如化学机械抛光(chemical mechanical polishing)或回蚀过程(etch back process)予以致密化与平坦化,以形成与硅层42的表面大致水平的浅槽隔离52。
图3b显示了在将栅极导电层与栅极绝缘层图案化以形成栅极54与自对准的栅极绝缘体56之后的图3a的结构。图案化是利用一系列各向异性蚀刻而进行的,该一系列各向异性蚀刻用光刻胶掩模作为蚀刻掩模来将顶部硬掩模层50图案化,然后用图案化的顶部硬掩模层50作为蚀刻掩模来将底部硬掩模层48图案化,接着用图案化的底部硬掩模层48作为蚀刻掩模来将多晶硅图案化,下一步用栅极54作为硬掩模来将栅极绝缘层图案化。如图3b所示,选择底部硬掩模层48的厚度,使得在将栅极绝缘层图案化后,底部硬掩模层的一部分会留在栅极上以作为保护帽(protective cap)58。
图3c显示了在栅极54、栅极绝缘体56与保护帽58周围形成隔片60之后的图3b的结构。隔片60优选通过沉积保护材料的保形层(conformal layer),接着各向异性蚀刻以从非垂直表面去除保护材料以留下隔片60而形成。隔片60优选由硅氧化物或硅氮化物而形成。
在一个示例性实施例中,用于形成隔片60的保形层是利用等离子增强化学气相沉积(PEVCD)过程而沉积的。该PECVD过程优选是将拉伸应变加入到硅层42的高压缩沉积。高压缩沉积可通过会对硅层42导致较高速的离子轰击和压缩(higher ion bombardment and compression)的偏压RF电源(biased RF power)而实现。
图3d显示了在栅极54、保护帽58、隔片60和硅层42上保形地沉积蚀刻终止层(ESL)63之后的图3c的结构。在一个示例性实施例中,蚀刻终止层63是在具有高压缩的PEVCD过程中沉积的,以增大硅层42中的拉伸应变。高压缩沉积可用增强的离子轰击来实现。
图3e显示了沉积层间介电(ILD)层65之后的图3d的结构。ILD层65保形地沉积在蚀刻终止层63之上。优选地,ILD层65是在高度压缩的PECVD过程中沉积的。高压缩沉积增大硅层42中的压缩,加入拉伸应变,并且由此增强载流子迁移率。
可以沉积其它的层,诸如衬里层(liner layer)或另一隔片层。这些附加层也可用高压缩沉积技术来沉积,以增大在硅层42中的拉伸应变。
虽然图3a-3e所示的过程代表目前优选的实施例,但可实施许多不同的变化。因而,可以实施依照本发明的许多不同的实施例。通常而言,这样的实施例包含MOSFET,该MOSFET包括在硅锗层上的应变硅沟道区,以及提供在栅极相对面而形成在硅区中的源极与漏极区。源极与漏极区的深度没有延伸超出硅区的深度,因而减小了传统硅锗应用中的不利的结漏泄和寄生电容。
在一个替代性的实施例中,在处理了硅锗之后可使用扩散炉,以通过运行湿氧化清洗周期(wet oxidation clean-up cycle)来处理非-SiGe材料。该湿氧化周期包括高温H2O氧化以将Ge转变为挥发性的Ge-氧化物。可重复这样的过程以将污染降低到检测极限之下。
在另一个替代性的实施例中,应变硅技术可以结合完全耗尽的(fully-depleted)绝缘体上硅(SOI)。然而,存在着一个挑战,因为应变硅是由下面的SiGe层所支撑的,当去除了SiGe后应变可能消失。通过引入具有与SiGe类似的晶格常数的单晶高-k材料,可以维持应变。例如,20%SiGe可用DySiO3或GdSiO3来实现。
在另一个替代性的实施例中,将环氧树酯封盖(epoxy seal)或另一种合适材料的封盖施加到硅芯片的上表面。通过改变封盖材料的特性,可改变硅芯片中的应力以引入拉伸应力。如上所述,拉伸应力会改善载流子迁移率,从而提高器件的速度。另一种增大拉伸应力的方法是使用圆拱形的(dome-shaped)金属基片,在该圆拱形的金属基片之上可以放置芯片。圆拱形状可通过冲压(stamping)或蚀刻而制成。圆拱形状给硅芯片提供物理应力(physical stress),从而产生拉伸应力。
图4显示了包含图3a-3e的优选实施例、前述替代性实施例及其它替代性实施例的流程图。首先,在操作80中提供基片,该基片包括其上形成有硅层的硅锗层。该基片还包括形成在应变硅层上的栅极绝缘体与形成在栅极绝缘体上的栅极。在操作82中,沉积隔片层以及在栅极与栅极绝缘体周围形成隔片。在一个示例性实施例中,隔片层是以高度压缩的形式沉积的,在下方的硅层中导致压缩,从而导致拉伸应变。
在操作84中,在栅极、隔片以及硅层之上保形地提供蚀刻终止层。在一个示例性实施例中,蚀刻终止层是以高压缩形式沉积的,增大了硅层中的拉伸应变。在操作86中,层间介电层(ILD)沉积在蚀刻终止层之上。替代性地,可以沉积任一层材料。在一个示例性实施例中,ILD层是在高压缩PECVD过程中沉积的。操作82、84以及86的沉积中的至少一个可使用高压缩沉积。或者,高压缩沉积可用在所有三个操作82,84与86中。在操作88中,加工该结构,包括形成许多不同特征中的任一个,诸如源极与漏极区的接触、金属互连、IMD层和钝化层。
对本领域的普通技术人员而言显而易见的是,在上述过程中所说明的任务没有必要排除其它的任务,而是进一步的任务可依照所要形成的特定结构而并入到上述过程中。例如,中间的加工任务,诸如在加工任务之间钝化层或保护层的形成与去除、光刻胶掩模和其它掩模层的形成与去除、掺杂与反掺杂、清洗、平坦化以及其它任务,可以与上述特定说明的任务一起进行。
在示例性实施例的说明中所描述的过程并不需要在整个基片上进行,诸如在整个晶片上,而是可在基片的部分之上选择性地进行。因此,虽然示意在附图中并在上面加以说明的实施例目前是优选的,但应该了解的是,这些实施例仅是作为例子而提供的。本发明并不限于特定的实施例,而是延伸到落入所要求保护的发明与等价物的范围之内的各种不同的变化、组合和排列。

Claims (10)

1.一种形成金属氧化物半导体场效应晶体管(MOSFET)的方法,包括:
提供基片(40),所述基片(40)上形成有栅极(54);以及
进行以下沉积步骤中的至少一个:
沉积隔片层并在位于所述基片(40)上的硅层(42)之上的栅极(54)与栅极绝缘体(56)的周围形成隔片(60);
在所述隔片(60)、栅极(54)与硅层(42)之上沉积蚀刻终止层(63);以及
在所述蚀刻终止层(63)之上沉积介电层(65);
其中,沉积隔片层、沉积蚀刻终止层(63)与沉积介电层(65)中的至少一个包括高压缩沉积,由此所述硅层(42)的拉伸应变增大。
2.如权利要求1所述的方法,其中,沉积隔片层、沉积蚀刻终止层(63)与沉积介电层(65)都包括高压缩技术。
3.如权利要求2所述的方法,其中,所述高压缩技术包括高速离子轰击。
4.如权利要求1所述的方法,进一步包括利用用于高速离子轰击的偏压RF电源来沉积衬里。
5.如权利要求1所述的方法,其中,所述硅层具有至少200nm的厚度。
6.一种制备晶体管的方法,包括:
在硅层(42)之上提供栅极(54),所述栅极(54)具有紧邻所述栅极(54)的横向侧壁的隔片(60);
在所述栅极(54)与隔片(60)上形成蚀刻终止层(63),其中,所述蚀刻终止层(63)是在高压缩沉积中形成的,在所述硅层(42)内导致应变;以及
在所述蚀刻终止层(63)之上形成介电层(65),其中,所述介电层(65)是在高压缩沉积中形成的,在所述硅层(42)内导致应变。
7.如权利要求6所述的方法,其中,衬里包括在所述栅极(54)与隔片(60)之间。
8.如权利要求6所述的方法,其中,所述蚀刻终止层(63)与介电层(65)是利用等离子增强化学气相沉积(PEVCD)而沉积的。
9.如权利要求8所述的方法,其中,所述PEVCD包括用于较高速的离子轰击的偏压RF电源。
10.如权利要求6所述的方法,其中,所述蚀刻终止层(63)包含硅氮化物。
CN2004800074546A 2003-01-17 2004-01-13 具有拉伸应变基片的半导体及其制备方法 Expired - Lifetime CN1762056B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/346,617 2003-01-17
US10/346,617 US7001837B2 (en) 2003-01-17 2003-01-17 Semiconductor with tensile strained substrate and method of making the same
PCT/US2004/000981 WO2004068586A1 (en) 2003-01-17 2004-01-13 Mosfet device with tensile strained substrate and method of making the same

Publications (2)

Publication Number Publication Date
CN1762056A true CN1762056A (zh) 2006-04-19
CN1762056B CN1762056B (zh) 2011-06-01

Family

ID=32712194

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004800074546A Expired - Lifetime CN1762056B (zh) 2003-01-17 2004-01-13 具有拉伸应变基片的半导体及其制备方法

Country Status (7)

Country Link
US (2) US7001837B2 (zh)
JP (1) JP2006517343A (zh)
KR (1) KR101023208B1 (zh)
CN (1) CN1762056B (zh)
DE (1) DE112004000146B4 (zh)
GB (1) GB2411768B (zh)
WO (1) WO2004068586A1 (zh)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6830976B2 (en) 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US7301180B2 (en) 2001-06-18 2007-11-27 Massachusetts Institute Of Technology Structure and method for a high-speed semiconductor device having a Ge channel layer
JP2004531901A (ja) * 2001-06-21 2004-10-14 マサチューセッツ インスティテュート オブ テクノロジー 歪み半導体層を備えたmosfet
EP1415331A2 (en) * 2001-08-06 2004-05-06 Massachusetts Institute Of Technology Formation of planar strained layers
US7138649B2 (en) * 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
US6974735B2 (en) 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
US6891209B2 (en) * 2001-08-13 2005-05-10 Amberwave Systems Corporation Dynamic random access memory trench capacitors
US6831292B2 (en) 2001-09-21 2004-12-14 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
AU2002341803A1 (en) 2001-09-24 2003-04-07 Amberwave Systems Corporation Rf circuits including transistors having strained material layers
US6657276B1 (en) * 2001-12-10 2003-12-02 Advanced Micro Devices, Inc. Shallow trench isolation (STI) region with high-K liner and method of formation
US6995430B2 (en) 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20030227057A1 (en) 2002-06-07 2003-12-11 Lochtefeld Anthony J. Strained-semiconductor-on-insulator device structures
AU2003238963A1 (en) * 2002-06-07 2003-12-22 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US6946371B2 (en) 2002-06-10 2005-09-20 Amberwave Systems Corporation Methods of fabricating semiconductor structures having epitaxially grown source and drain elements
US6982474B2 (en) 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
US7091068B1 (en) * 2002-12-06 2006-08-15 Advanced Micro Devices, Inc. Planarizing sacrificial oxide to improve gate critical dimension in semiconductor devices
US20040154083A1 (en) * 2002-12-23 2004-08-12 Mcvicker Henry J. Sports pad closure system with integrally molded hooks
WO2004081982A2 (en) * 2003-03-07 2004-09-23 Amberwave Systems Corporation Shallow trench isolation process
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7462526B2 (en) * 2003-11-18 2008-12-09 Silicon Genesis Corporation Method for fabricating semiconductor devices using strained silicon bearing material
US7053400B2 (en) * 2004-05-05 2006-05-30 Advanced Micro Devices, Inc. Semiconductor device based on Si-Ge with high stress liner for enhanced channel carrier mobility
US7321155B2 (en) * 2004-05-06 2008-01-22 Taiwan Semiconductor Manufacturing Co., Ltd. Offset spacer formation for strained channel CMOS transistor
US7227205B2 (en) * 2004-06-24 2007-06-05 International Business Machines Corporation Strained-silicon CMOS device and method
DE102004042167B4 (de) * 2004-08-31 2009-04-02 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Ausbilden einer Halbleiterstruktur, die Transistorelemente mit unterschiedlich verspannten Kanalgebieten umfasst, und entsprechende Halbleiterstruktur
US20060099763A1 (en) * 2004-10-28 2006-05-11 Yi-Cheng Liu Method of manufacturing semiconductor mos transistor device
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US20060172556A1 (en) * 2005-02-01 2006-08-03 Texas Instruments Incorporated Semiconductor device having a high carbon content strain inducing film and a method of manufacture therefor
EP1851792A1 (en) * 2005-02-11 2007-11-07 Nxp B.V. Method of forming sti regions in electronic devices
CN100446282C (zh) * 2005-09-19 2008-12-24 深圳帝光电子有限公司 Led光源产品
US7615432B2 (en) * 2005-11-02 2009-11-10 Samsung Electronics Co., Ltd. HDP/PECVD methods of fabricating stress nitride structures for field effect transistors
US7550356B2 (en) * 2005-11-14 2009-06-23 United Microelectronics Corp. Method of fabricating strained-silicon transistors
US7939413B2 (en) * 2005-12-08 2011-05-10 Samsung Electronics Co., Ltd. Embedded stressor structure and process
US7888214B2 (en) * 2005-12-13 2011-02-15 Globalfoundries Singapore Pte. Ltd. Selective stress relaxation of contact etch stop layer through layout design
US20070158739A1 (en) * 2006-01-06 2007-07-12 International Business Machines Corporation Higher performance CMOS on (110) wafers
US20080124880A1 (en) * 2006-09-23 2008-05-29 Chartered Semiconductor Manufacturing Ltd. Fet structure using disposable spacer and stress inducing layer
US7897493B2 (en) * 2006-12-08 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Inducement of strain in a semiconductor layer
US7892928B2 (en) * 2007-03-23 2011-02-22 International Business Machines Corporation Method of forming asymmetric spacers and methods of fabricating semiconductor device using asymmetric spacers
US7745847B2 (en) * 2007-08-09 2010-06-29 United Microelectronics Corp. Metal oxide semiconductor transistor
US8058123B2 (en) 2007-11-29 2011-11-15 Globalfoundries Singapore Pte. Ltd. Integrated circuit and method of fabrication thereof
US20090146194A1 (en) * 2007-12-05 2009-06-11 Ecole Polytechnique Federale De Lausanne (Epfl) Semiconductor device and method of manufacturing a semiconductor device
US8232186B2 (en) * 2008-05-29 2012-07-31 International Business Machines Corporation Methods of integrating reverse eSiGe on NFET and SiGe channel on PFET, and related structure
JP5381350B2 (ja) * 2009-06-03 2014-01-08 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US8236709B2 (en) * 2009-07-29 2012-08-07 International Business Machines Corporation Method of fabricating a device using low temperature anneal processes, a device and design structure
TWI419324B (zh) * 2009-11-27 2013-12-11 Univ Nat Chiao Tung 具有三五族通道及四族源汲極之半導體裝置及其製造方法
US8865576B2 (en) * 2011-09-29 2014-10-21 Eastman Kodak Company Producing vertical transistor having reduced parasitic capacitance
US8759920B2 (en) * 2012-06-01 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US607178A (en) * 1898-07-12 Combined plow and harrow
US628113A (en) * 1898-07-30 1899-07-04 Carl Petersen Apparatus for indicating the number of conversations through telephones.
US649221A (en) * 1899-12-16 1900-05-08 Charles Slingland Warping-machine.
JPH03247767A (ja) * 1990-02-26 1991-11-05 Fuji Electric Co Ltd 絶縁膜形成方法
US5241214A (en) * 1991-04-29 1993-08-31 Massachusetts Institute Of Technology Oxides and nitrides of metastabale group iv alloys and nitrides of group iv elements and semiconductor devices formed thereof
JPH0562971A (ja) * 1991-09-02 1993-03-12 Fuji Electric Co Ltd 窒化シリコン膜の形成方法
JPH05315268A (ja) * 1992-05-13 1993-11-26 Matsushita Electric Ind Co Ltd プラズマcvd装置
US5461250A (en) * 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
US5561302A (en) * 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
TW335511B (en) * 1996-08-02 1998-07-01 Applied Materials Inc Stress control by fluorination of silica film
US6288431B1 (en) * 1997-04-04 2001-09-11 Nippon Steel Corporation Semiconductor device and a method of manufacturing the same
US5843816A (en) * 1997-07-28 1998-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated self-aligned butt contact process flow and structure for six transistor full complementary metal oxide semiconductor static random access memory cell
US6071784A (en) * 1997-08-29 2000-06-06 Advanced Micro Devices, Inc. Annealing of silicon oxynitride and silicon nitride films to eliminate high temperature charge loss
EP0928015A3 (en) * 1997-12-31 2003-07-02 Texas Instruments Incorporated Method of preventing boron penetration
US6215190B1 (en) * 1998-05-12 2001-04-10 International Business Machines Corporation Borderless contact to diffusion with respect to gate conductor and methods for fabricating
JP4258034B2 (ja) * 1998-05-27 2009-04-30 ソニー株式会社 半導体装置及び半導体装置の製造方法
JP2000031491A (ja) * 1998-07-14 2000-01-28 Hitachi Ltd 半導体装置,半導体装置の製造方法,半導体基板および半導体基板の製造方法
US6281132B1 (en) * 1998-10-06 2001-08-28 Advanced Micro Devices, Inc. Device and method for etching nitride spacers formed upon an integrated circuit gate conductor
US6448140B1 (en) * 1999-02-08 2002-09-10 Taiwan Semiconductor Manufacturing Company Laterally recessed tungsten silicide gate structure used with a self-aligned contact structure including a straight walled sidewall spacer while filling recess
US6177338B1 (en) * 1999-02-08 2001-01-23 Taiwan Semiconductor Manufacturing Company Two step barrier process
US6136688A (en) * 1999-10-20 2000-10-24 Vanguard International Semiconductor Corporation High stress oxide to eliminate BPSG/SiN cracking
US6426285B1 (en) * 1999-11-03 2002-07-30 Taiwan Semiconductor Manufacturing Company Method to solve intermetallic dielectric cracks in integrated circuit devices
US6211083B1 (en) * 2000-04-17 2001-04-03 Taiwan Semiconductor Manufacturing Company Use of a novel capped anneal procedure to improve salicide formation
US6399512B1 (en) * 2000-06-15 2002-06-04 Cypress Semiconductor Corporation Method of making metallization and contact structures in an integrated circuit comprising an etch stop layer
US6503833B1 (en) * 2000-11-15 2003-01-07 International Business Machines Corporation Self-aligned silicide (salicide) process for strained silicon MOSFET ON SiGe and structure formed thereby
KR100767950B1 (ko) * 2000-11-22 2007-10-18 가부시키가이샤 히타치세이사쿠쇼 반도체 장치 및 그 제조 방법
US6544854B1 (en) * 2000-11-28 2003-04-08 Lsi Logic Corporation Silicon germanium CMOS channel
US6287916B1 (en) * 2000-12-07 2001-09-11 Lattice Semiconductor Corporation Method for forming a semiconductor device using LPCVD nitride to protect floating gate from charge loss
JP4441109B2 (ja) * 2000-12-08 2010-03-31 株式会社ルネサステクノロジ 半導体装置の製造方法
JP2003086708A (ja) * 2000-12-08 2003-03-20 Hitachi Ltd 半導体装置及びその製造方法
JP2002198368A (ja) * 2000-12-26 2002-07-12 Nec Corp 半導体装置の製造方法
US6724008B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6432784B1 (en) * 2001-03-12 2002-08-13 Advanced Micro Devices, Inc. Method of forming L-shaped nitride spacers
US6620664B2 (en) * 2002-02-07 2003-09-16 Sharp Laboratories Of America, Inc. Silicon-germanium MOSFET with deposited gate dielectric and metal gate electrode and method for making the same
US6492216B1 (en) * 2002-02-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
US6680496B1 (en) * 2002-07-08 2004-01-20 Amberwave Systems Corp. Back-biasing to populate strained layer quantum wells
US6573172B1 (en) * 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
US6902991B2 (en) * 2002-10-24 2005-06-07 Advanced Micro Devices, Inc. Semiconductor device having a thick strained silicon layer and method of its formation
US6657223B1 (en) * 2002-10-29 2003-12-02 Advanced Micro Devices, Inc. Strained silicon MOSFET having silicon source/drain regions and method for its fabrication
US6611029B1 (en) * 2002-11-08 2003-08-26 Advanced Micro Devices, Inc. Double gate semiconductor device having separate gates
US6787423B1 (en) * 2002-12-09 2004-09-07 Advanced Micro Devices, Inc. Strained-silicon semiconductor device
US7371629B2 (en) * 2002-12-09 2008-05-13 Taiwan Semiconductor Manufacturing Company N/PMOS saturation current, HCE, and Vt stability by contact etch stop film modifications
US6825529B2 (en) * 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6730576B1 (en) * 2002-12-31 2004-05-04 Advanced Micro Devices, Inc. Method of forming a thick strained silicon layer and semiconductor structures incorporating a thick strained silicon layer

Also Published As

Publication number Publication date
US20040142545A1 (en) 2004-07-22
DE112004000146T5 (de) 2006-02-02
US7001837B2 (en) 2006-02-21
CN1762056B (zh) 2011-06-01
US7701019B2 (en) 2010-04-20
JP2006517343A (ja) 2006-07-20
GB2411768B (en) 2006-04-26
KR20050086961A (ko) 2005-08-30
GB0512330D0 (en) 2005-07-27
KR101023208B1 (ko) 2011-03-18
WO2004068586A1 (en) 2004-08-12
US20060138479A1 (en) 2006-06-29
GB2411768A (en) 2005-09-07
DE112004000146B4 (de) 2010-05-06

Similar Documents

Publication Publication Date Title
CN1762056B (zh) 具有拉伸应变基片的半导体及其制备方法
US7781771B2 (en) Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US8003470B2 (en) Strained semiconductor device and method of making the same
CN102656672B (zh) 具有自对准外延源和漏的多栅半导体器件及其制造方法
US7936042B2 (en) Field effect transistor containing a wide band gap semiconductor material in a drain
US7625806B2 (en) Method of fabricating a non-floating body device with enhanced performance
CN1263119C (zh) 用于生产cmos器件的方法
CN100365766C (zh) 厚应变硅层及含有厚应变硅层的半导体结构的形成方法
CN1685523A (zh) 具有改良的载流子迁移率的垂直双栅极场效应晶体管及其形成方法
EP1638149B1 (fr) Procédé de fabrication d'un transistor à effet de champ à grille isolée à canal à hétérostructure
WO2011160477A1 (zh) 一种应变沟道场效应晶体管及其制备方法
CN103035712A (zh) 半导体器件及其制造方法
CN1956214A (zh) 场效应晶体管及其制造方法
CN101452959B (zh) 半导体器件及其制造方法
US6900143B1 (en) Strained silicon MOSFETs having improved thermal dissipation
US20230178440A1 (en) Methods of forming integrated circuit devices including stacked transistors and integrated circuit devices formed by the same
CN103123899A (zh) FinFET器件制造方法
CN103123900A (zh) FinFET器件制造方法
CN101118925A (zh) 金属氧化物半导体晶体管元件及其制造方法与改善方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20110601

CX01 Expiry of patent term