CN1728340A - 用于制造场效应晶体管门极结构的工艺整合的组合工具和方法 - Google Patents

用于制造场效应晶体管门极结构的工艺整合的组合工具和方法 Download PDF

Info

Publication number
CN1728340A
CN1728340A CNA2005100085405A CN200510008540A CN1728340A CN 1728340 A CN1728340 A CN 1728340A CN A2005100085405 A CNA2005100085405 A CN A2005100085405A CN 200510008540 A CN200510008540 A CN 200510008540A CN 1728340 A CN1728340 A CN 1728340A
Authority
CN
China
Prior art keywords
gate electrode
gate
effect transistor
etching
dielectric constant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005100085405A
Other languages
English (en)
Other versions
CN100383925C (zh
Inventor
爱翠·库玛
瑞麦希·奎许纳穆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1728340A publication Critical patent/CN1728340A/zh
Application granted granted Critical
Publication of CN100383925C publication Critical patent/CN100383925C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明公开了一种用于制造场效应晶体管门极结构的工艺整合的方法和设备,该方法包括装配一整合基板加工系统(integrated substrate processing system),该系统包括一测量模块和一真空加工平台,以完成受控和自适应等离子工艺,而不将基板暴露于非真空环境。

Description

用于制造场效应晶体管门极结构的工艺整合的组合工具和方法
技术领域
本发明涉及一种在半导体基板上制造组件(devices)的组合工具和方法,特别是涉及一种用于制造场效应晶体管的工艺整合的组合工具和方法。
背景技术
集成电路(integration circuits)在一基板(substrate)(例如半导体晶片)上可包含其上形成的多于一百万个微电子场效应晶体管(micro-electricfield effect transistors),(例如,互补金属氧化物半导体(CMOS)场效应晶体管),这些场效应晶体管在电路中相互协作完成各种功能。CMOS晶体管包含位于基板上的源极和漏极区域之间的门极结构。门极结构一般包括一个门极电极(gate electrode)和一门极电介质(gate dielectric)。门极电极位于门极电介质之上,以控制电荷载体在沟道区的流动,其中沟道区在门极电介质的下面,位于源极和漏极之间。为提高晶体管的开关速度,门极电介质材料的介质常数大于4.0。这里,这样的电介质材料被称作高介质常数(high-k)材料。
具有高介质常数的场效应晶体管的门极结构的制造方法包括许多任务艺步骤(例如蚀刻的步骤))和控制步骤(例如测量步骤),使用各种基板加工反应器和和测量工具来完成这些步骤。这些反应器在常压下运行于半导体厂的制造区,其工作室保持在真空状态,并使用度量工具和常压下的工厂接口(即基板保持盒的传送设备)把反应器组合在一起。
在非真空环境下,基板接触类似微粒、微量气态卤基化合物等的机械和化学污染物,其可能在制造过程中损坏门极结构。为提高组件的速度,门极结构变得越来越小及/或薄,基板受到污染的风险增加。另外,基板在加工反应器之间和加工反应器与测量工具之间的传送,会耗费时间,降低场效应晶体管的生产效率。
因此,在制造场效应晶体管门极结构的工艺整合中有必要使用组合工具和方法。
发明内容
本发明揭示了一种用于制造具有高介质常数门极电介质的场效应晶体管门极结构的方法和设备,该方法包括装配一整合基板加工系统,其包括一测量模块和一真空加工平台,以完成受控和自适应等离子工艺,而不将基板暴露于非真空环境。
在一实施例中,测量模块定义门极结构的光刻胶(photoresist)掩模(mask)的尺寸,然后把基板传送到加工平台,在真空环境下对掩模进行预定的受控修整,形成硬掩模(hard etch mask),构成门极结构,使门极具有高介质常数的门极电介质。基板从加工平台传送到测量模块,测量门极结构的尺寸,并回馈测量数据,以调整加工平台的操作。
执行本发明方法的整合基板加工系统包括:一使用至少一种无损测量技术的测量模块;一计算机控制的真空加工平台,其拥有至少一基板加工模块(例如等离子蚀刻(plasma etch)模块、等离子灰化(plasma ashing)模块等);以及至少一与一普通的基板传送室相连结的负载锁定(load-lock)室。
附图说明
上述说明仅是本发明技术方案的概述,为了能够更清楚了解本发明的技术手段,并可依照说明书的内容予以实施,以下以本发明的较佳实施例并配合附图详细说明如后。
图1为绘示本发明的较佳实施例的半导体整合基板加工系统(例如组合工具)的示意图。
图2A-2B是绘示本发明一较佳实施例的制造拥有高介质常数的门极电介质的场效应晶体管的门极结构的工艺整合方法的流程图。
图3A-3J是绘示基板的一组示意性截面图,在此基板上,利用图1所示的加工系统,依照图2A-2B所描述的方法制造场效应晶体管的门极结构,且此门极结构的门电极含有金属。
图4A-4F是一组绘示基板(加工)的示意性剖面图。在此基板上,利用图1所示的加工系统,依照图2A-2B所描述的方法制造场效应晶体管的门极结构,且此门极结构具有多晶硅门电极。
图5是描述本发明使用的典型等离子蚀刻设备的示意图。
图6是描述本发明使用的典型等离子灰化设备的示意图。
在图中,为便于理解,如有可能,使用相同的数字标记相同的组件。
然而,应当注意,所附图式仅用来说明本发明的较佳实施例,并不限制本发明的保护范围。本发明允许其它具有相同效果的实施例。
具体实施方式
本发明是一种用于制造场效应晶体管门极结构的工艺整合的组合方法和工具,其中晶体管拥有高介质常数的门极电介质,例如互补金属氧化物半导体(CMOS)场效应晶体管。可应用本发明制造极大规模集成电路(ULSI)半导体组件或电路。
图1为描述本发明的较佳实施例的半导体整合基板加工系统(例如组合工具)100的示意图。
本系统100典型地包括一真空密封加工平台101、一输入/输出模块102,以及一系统控制器140。在一较佳实施例中,平台101包含加工模块110,112,114和116以及至少一个负载锁定室(负载锁定室121和122如图所示),且负载锁定室与一普通的真空基板传送室128相连接。
负载锁定室121和122保护传送室128免受大气的污染。传送室128包括一基板机械手130。在操作中,机械手130在负载锁定室和加工模块之间传送基板。上述的机械手130的实施例为典型示范例,并不限制本发明申请专利范围。
加工模块110、112、114和116中,至少包含两个模块:至少一等离子蚀刻模块和至少一等离子灰化模块。在本发明中应用的等离子蚀刻和灰化模块,例如加里福尼亚桑塔克拉瓦(Califonia Santa Clara)的应用材料公司(Applied Material Inc)的DPSII,DPSII HT和AXIOMTM模块,将结合图5和图6分别讨论如下。
输入/输出模块102包括一测量模块126,至少一接纳一个或多个前开式保持盒(FOUP)(在图中前开式保持盒106和107被显示)的携行计算机坞(docking station),以及至少一个基板机械手(在图中机械手108和120被显示)。在一实施例中,测量模块126包含一测量工具104,使用至少一种无损测量技术,适用于测量在基板上形成的结构临界尺寸。加里福尼亚州密尔皮塔司(Milpitas Califonia)的Nanometrics公司提供的一款光学测量临界尺寸的合适的测量工具104。机械手108和120在基板保持盒106、测量工具104和负载锁定室121、122之间传送加工前和加工后基板。在所描述的实施例中,测量模块126作为传递信道模块。但在其它实施例(未显示)中,测量模块126可能是输入/输出模块102的外围单元。本发明也公开了带有测量工具的加工系统,例如,所公开之内容完整结合于2000年11月21号发布的第6150664号美国专利说明中。
在一较佳实施例中,系统100包括平台101与输入/输出模块102,其中平台101包括DPSII模块110、DPSIIHT模块112和114、AXIOMTM模块116、负载锁定室121和122,传送室128。输入/输出模块102包括带有测量工具104的测量模块126、前开式保持盒106和107、以及基板机械手108和120组成。还可考虑使用由其它制造商提供的合适的蚀刻与灰化模块。
工厂接口124一般为常压接口,用来在半导体厂的各种加工系统和制造区域之间传送具有位于前开式保持盒106和107中的加工前和加工后的晶片的盒。一般地,工厂接口124包括基板保持装置136和轨道138。在操作中,晶片保持装置136在轨道138上运行,以在组合工具或其它加工设备之间传送前开式保持盒。
系统控制器140连接并控制工艺整合系统100的模块和设备。系统控制器140通过直接控制系统100的模块和设备,或控制与模块和设备相连的计算机(控制器),来控制系统的所有操作。在操作中,系统控制器140能在模块(例如测量模块126)和设备之间收集和回馈信息,优化系统100的性能。
系统控制器一般由中央处理器(CPU)142,存贮器144,和支持电路146组成。中央处理器142可以是任何一种形式的能够用于工业环境的计算机处理器。支持电路146与中央处理器142相连,且支持电路146例如包括缓冲存贮器、时钟电路、输入/输出子系统、电源供应器等。中央处理器142一旦运行应用软件,即变成了特殊用途的计算机(控制器)140。应用程序可存贮和/或运行在远离系统100的第二控制器(未在图中显示)中。
图2A-2B,绘示本发明实施例的制造场效应晶体管门极结构的工艺整合流程,如工艺流程200。工艺流程200示例性地包括制造互补金属氧化物半导体场效应晶体管门极结构过程中要完成的基板加工的工艺步骤。
图3A-3J和图4A-4F为描述在基板上应用工艺流程200制造门极结构的一组示意性截面图,分别叙述了制造含有金属的门极电极和多晶硅门极电极的场效应晶体管门极结构的各个步骤。为了更好地理解本发明,读者应当同时参照图2A-2B,图3A-3J和图4A-4F。这些图被简化,未按比例描绘,仅用作示意说明。
工艺流程200,从步骤201开始进行到步骤202时,基板300(例如硅晶片等)例如被基板机械手108从一晶片前开式保持盒(例如前开式保持盒106)中传送到测量模块126。基板300一般包括欲被制造的门极结构的模制光刻胶掩模314和多个膜堆302(图3A中显示一个膜堆302)或模堆402(图4A中显示一个膜堆402)组成。模堆302和402分别对应于含有金属门极电极和多晶硅门极电极的互补金属氧化物半导体晶体管。
模制光刻胶掩模314定义欲被制作的门极结构的位置,且被放于区域332和334的上面(两区域均以虚线示之)。门极结构制作完成后,使用离子灌输工艺在两区域形成晶体管的源极和漏极。在制造每一晶体管时,源极和漏极区域332和334由一沟道336分开。
膜堆302一般包括电介质防反射涂层(dielectric anti-reflective coating,DARC)326、α-碳膜324、接触层310、门极电极层306和门极电介质层304。
电介质防反射涂层326用来在平板模制光刻胶掩模314制作过程中控制光的反射。电介质防反射涂层326典型地包含二氧化硅、氧氮化硅、氮化硅等。膜324示例性地由高温无机碳材料形成,即α-碳。这种α-碳材料是由应用材料公司(Applied Materials Inc.)提供的,其商标为Advanced Patteming Film(ApFTM),公开于一般指定号为09/590,322的于2000年6月8号提出申请的美国专利申请案中,其所公开的内容完整结合于本说明书中。α-碳膜324可掺杂氮以改善碳材料相对于膜堆302中其它材料的蚀刻选择比。在一示例性实施例中,α-碳膜324的厚度约1800埃。这种α-碳材料在高温(例如300-500摄氏度及更高)下的稳定性特别有利于蚀刻具有高介质常数的门极电介质层304(将在下面本说明书步骤218中讨论)。
在某些实施例中,并不需要接触层310。象此类情况,可选择是否用接触层310。如果使用接触层310,其材料为掺杂多晶硅,且其厚度约为500-2000埃。在一较佳实施例中,膜堆302中多晶硅接触层310的厚度为1000埃。门极电极层306可至少包括一金属,例如钛(Ti)、钽(Ta)、钨(W)等,和/或一含有金属的导电化合物,例如氮化钛(TiN),氮化钽(TaN),氮化钨(WN)等。在一较佳实施例中,门极电极层306的厚度约为50-300埃,其材料为氮化钛(TiN)。门极电介质层304包括至少一种高介质常数材料,包含氧化铪(HfO2),硅酸铪(HfSiO2),氧氮化硅铪(HfSiON)等,或其组合。这里,介质常数大于4.0的电介质材料为高介质常数材料。在一较佳实施例中,门极电介质层304的厚度约为10-20埃,其材料为氧化铪(HfO2)。
膜堆402一般包括电介质防反射涂层326、a-碳膜324、由掺杂多晶硅所形成的厚度约500至6000埃的门极电极层408和门极电介质层304。
应当明白,在其它实施例中,膜堆302和304可包括不同材料、不同厚度的层。这些层可以应用任何传统的真空沉积技术例如原子层沉积(ALD)、物理蒸发沉积(PVD)、化学蒸发沉积(CVD)、等离子增强化学蒸发沉积(PECVD)等方法制作。膜堆302和304可以由应用材料公司(Applied Material Inc.)提供的半导体晶片加工系统完成制作。
步骤204:在测量模块126中检测基板300,且例如使用测量工具104测量模制掩模314的结构的尺寸。要完成测量,测量工具104可使用至少一种无损光学测量技术,例如光谱干涉测量法、散射仪、反射计、椭圆光度法等。测量的尺寸包括表面形状尺寸、临界尺寸(critical dimensions,CDs)、厚度和侧面角度等。这里的临界尺寸是指掩模314的最小宽度。可以以预先设定的周期完成对一批基板300中每一基板的测量。在一实施例中,测量工具104测量每一基板300上的模制掩模314的宽度315。对基板的多个区域,象统计上有重要意义的若干(例如5-9个)区域,进行测量,并将基板的测量结果平均。测量的结果应用于整合半导体基板加工系统100,以确定修整工艺(在本说明下面步骤208中讨论)的方法,如图2中的连接(link)203所示。由于平板模制工艺的光学局限性,典型上,光刻胶掩模314所组成的结构,是即要在下层蚀刻的特征的按比例放大的映象。因此在模制工艺完成后,光刻胶掩模314在被用作蚀刻掩模之前应被修整以减少这样的映象结构的宽度。应用修整前对模制光刻胶掩模的测量,控制被蚀刻部分的尺寸的方法,已经被公开于例如在2003年5月1号提出申请的一般指定号为10/428145的美国专利申请案中,其所公开的内容,完整结合于本说明。
步骤206:基板300从测量模块126被传送到真空密封加工平台101。在一较佳实施例中,使用基板机械手108把基板300放在平台101上的负载锁定室121中,然后再由传送室128的基板机械手130,把基板300传送到DPSII模块110。
步骤208:修整模制光刻胶掩模314的宽度315,使之减少到预先设定的宽度327(如图3B、4B所示)。修整工艺一般采用等方性等离子蚀刻方法。在一实施例中,修整工艺采用一种含有溴化氢(HBr)、氧气和一惰性气体如氩、氖等的气体(或混合气体)。此处所说的气体和混合气体,是被交替使用的。切割后掩模314的高度降低,如图3B、4B所示。在一些应用中,没有必要减少宽度203,所以步骤208是可选择的。
在一实施例中,使用DPSII蚀刻模块修整模制光刻胶掩模314所用各种气体比率为:溴化氢(HBr)2-200sccm,氧气(O2)5-100sccm(相当于HBr∶O2流量之比为1∶10-10∶1),氩10-200sccm。提供给电感式耦合天线的功率为200-1000W,阴极偏压功率0-300W,晶片保持温度0-80℃,加工室压力为2-30mTorr。例如,某一修整工艺所用各种气体流率为:溴化氢(HBr)60sccm,氧气(O2)28sccm(相当于HBr∶O2流量之比为2∶1),氩20sccm,提供给电感式耦合天线的功率为500W,阴极偏压功率20W,晶片保持温度50℃,加工室压力为4mTorr。这样的工艺提供的光刻胶(掩模314)与氧氮化硅(电介质防反射涂层326)的蚀刻选择比至少为10∶1,且把模制光刻胶掩模314的宽度315从100-150nm减少到50nm或更少(即宽度327)。
步骤210:原位(in-situ)蚀刻与修整后的模制光刻胶掩模314相临的电介质防反射涂层326和α-碳膜324位于区域322中的部分(如图3C、4C)。步骤210使用修整后的光刻胶掩模314作为蚀刻掩模。剩下的电介质防反射涂层326和α-碳膜324的部分,合在一起就形成了硬蚀刻掩模328,其放在膜堆302的接触层310的区域320(如图3C),或放在膜堆402的多晶硅层408的区域320(如图4C)。在一实施例中,步骤210也需要刻除部分模制掩模314。在此实施例中,步骤210包括两个阶段:第一阶段使用具有四氟化碳(CF4)和氩(Ar)的混合气体的等离子体,蚀刻涂电介质防反射涂层326;第二阶段使用具有溴化氢(HBr)、氧气(O2)和氩(Ar)的混合气体的等离子体,蚀刻α-碳模324,耗除模制光刻胶掩模314。
在一实施例中,第一阶段使用DPSII蚀刻模块切割具有氮氧化硅的电介质防反射涂层326。所用各种气体流率为:四氟化碳(CF4)20-200sccm,氩(Ar)20-200sccm(相当于CF4∶Ar流量之比为1∶10-10∶1),提供给电感式耦合天线的功率为200-1500W,阴极偏压功率20-150W,晶片保持温度50-200℃,加工室压力为2-20mTorr。例如某一工艺配方中,所用各种气体流率为:四氟化碳(CF4)120sccm,氩(Ar)120sccm(相当于CF4∶Ar流量之比为1∶1),提供给天线的功率为360W,偏压功率60W,晶片保持温度80℃,压力为4mTorr。这样的工艺提供的氧氮化硅(电介质防反射涂层326)相对于光刻胶(掩模314)的选择比至少为3∶1。
在第二阶段中,蚀刻α-碳膜324所用各种气体流率为:溴化氢(HBr)20-200sccm,氧气(O2)10-40sccm(相当于HBr∶O2流量之比为1∶2-20∶1),氩20-200sccm,提供给电感式耦合天线的功率为200-1500W,阴极偏压功率50-200W,晶片保持温度50-200℃,加工室压力为2-20mTorr。例如,某一工艺所用各种气体流率为:溴化氢(HBr)60sccm,氧气(O2)26sccm(相当于HBr∶O2流量之比为2.3∶1),氩60sccm,提供给天线的功率为600w,偏压功率60w,晶片保持温度80℃,压力为4mTorr。这样的工艺提供的α-碳膜324相对于光刻胶(掩模314)的选择比至少为2∶1,光刻胶(掩模314)相对于多晶硅(层310、408)的选择比至少为100∶1。
完成蚀刻工艺后,马上将基板300从DPSII模块110传送到DPSIIHT中的一个模块(模块112或114)。在DPSII HT模块中,包含模堆302的基板300经过步骤212、214、216和218。包含模堆402的基板300,使用连接(link)205和207跳过步骤212,214和216,经过步骤217和218,如图1所示。
步骤212:如图3D所示,蚀刻接触层310且将其在区域322移除。蚀刻接触层310所剩部分构成上部接触312(例如多晶硅上部接触)。步骤210将电介质防反射涂层326当作可耗蚀刻掩模,将门极电极层306(例如氮化钽)当作蚀刻停止层。完成步骤212后,立即移去电介质防反射涂层326。在一实施例中,步骤212完成等离子蚀刻工艺,此工艺包括主蚀刻阶段、软着陆阶段和过度蚀刻阶段。如此的工艺用来将蚀刻后残余物313(用虚线显示)减至最少。在另一实施例中,为除去区域322的接触层310,步骤212仅使用等离子蚀刻的主蚀刻阶段,因此软着陆和过度蚀刻阶段是可选的。
在一实施例中,步骤212的主蚀刻阶段以较高的速度蚀刻多晶硅接触层310暴露部分的百分之30-70,而软着陆蚀刻阶段蚀刻多晶硅接触层310剩余的暴露部分。在本实施例中,过度蚀刻阶段蚀刻除去多晶硅上所有痕迹和门极电极层306暴露的微小剩余部分。每一阶段的持续时间的确定取决于加工时间控制、等离子发射的特定波长、所应用的激光干涉测量和别的终点确定技术等。
为了蚀刻多晶硅接触层310,步骤212的主蚀刻阶段采用一种气体(或混合气体),其含有氯气(Cl2)、溴化氢(HBr)以及含氟气体(例如四氟化碳(CF4),三氟化氮(NF3)等)中的至少一种和至少一种可选气体,如氮气、氦(HE)和氧气或氧和氦的混合气体,以及至少一种惰性气体(如氩,氖等),或以上的组合。
在一较佳实施例中,步骤212的主蚀刻阶段采用DPSII HT模块蚀刻多晶硅接触层310。所用各种气体流率为:溴化氢(HBr)20-300sccm,氯气(CL2)20-300sccm(相当于HBr∶Cl2流量之比为1∶15-15∶1),四氟化碳(CF4)0-100sccm(相当于HBr∶CF4流量之比为1∶5-1∶0),氧气(O2)0-200sccm,提供给电感式耦合天线的功率为200-3000W,阴极偏压功率0-300w,晶片底座保持温度20-80℃,加工室中压力为2-100mTorr。某一工艺配方为:溴化氢(HBr)150sccm,氯气(CL2)150sccm(相当于HBr∶Cl2流量之比为1∶1),四氟化碳(CF4)0sccm(相当于HBr∶CF4流量之比为1∶0),氧气(O2)20sccm,提供给电感式耦合天线的功率为400W,阴极偏压功率100W,晶片底座保持温度65℃,加工室中压力为8mTorr。
步骤212的软着陆阶段,蚀刻和去除多晶硅接触层310在主蚀刻阶段后所剩的大部分残余。在软着陆期间,参数参数基本雷同主蚀刻阶段,但选择性地调整了含氟气体(即四氟化碳)的流量。某一较佳实施例的软着陆阶段含氟气体的流量为零。
步骤212的过度蚀刻阶段除去主蚀刻阶段和软着陆阶段后接触层310可能剩余的痕迹。在又一实施例中,过度蚀刻阶段去除门极电极层306位于区域322的微小剩余部分。在过度蚀刻阶段期间,蚀刻的参数与主蚀刻阶段基本雷同,但有选择性地调整了含氟气体和氯气的流量。在一较佳实施例的过度蚀刻阶段,含氟气体和氯气的流量被终止。此工艺所提供的多晶硅(层310)相对于氮化钛(层306)的蚀刻选择比至少为100∶1,多晶硅相对于氮氧化硅(电介质防反射涂层326)的蚀刻选择比约为80∶1。
步骤212在蚀刻工艺后,在门极电极层306的表面352、α-碳膜324、上部接触312的侧面317和基板300的其它地方产生剩余物313。蚀刻后的残余物313(例如SiOx/TiOx残余,这里x为整数)不能被含金属的门极电极层306的蚀刻剂所除去(在下面步骤216中讨论),因此应当从表面352上除去残留313。蚀刻后在侧面317上存在的残余物313一般不危害门极结构的制作,蚀刻门极电极层306时可作为钝化层防止侧面317产生侧凹现象。例如在门极电介质层304被蚀刻后,可除去侧面317上的残余物313(在下面步骤218中讨论)。
步骤214:从门极电极层306的表面352上除去残余物313(如图3E)。步骤214也同时从α-碳膜324的上表面除去残余物313且薄化或除去上部接触312的侧面上的残余物313。在一实施例中,步骤214采用一种主要为物理等离子蚀刻的工艺即喷溅蚀刻(sputter etch)。除去蚀刻后的残余物313时,喷溅蚀刻工艺提供蚀刻方向的高准确性,防止腐蚀侧面317,使其对加工室的化学污染减至最低。喷溅蚀刻工艺可应用惰性气体(如氩、氖等或其组合)或惰性气体与可选化学蚀刻剂(例如氯气、溴化氢等)的混合气体除去残余物313。在执行步骤214的期间,通过控制加工时间、监视等离子发射的特殊波长和其它终点确定技术来确定工艺的终点。
在图3F中所描述的又一较佳实施例中,当门极电极层306位于区域322的部分被去除(即喷溅蚀刻)到预先确定的深度319时,停止执行步骤214的物理等离子蚀刻工艺。在本实施例中,步骤107可被看作步骤216的软着陆蚀刻阶段(参考图3G-3H)。
在一较佳实施例中,使用DPSII HT去除蚀刻后的残余物313。所用各种气体流率为:氩(Ar)20-400sccm,溴化氢(HBr)0-400sccm(相当于Ar∶HBr流量之比为1∶20-1∶0),提供给电感式耦合天线的功率为200-3000W,阴极偏压功率0-300W,晶片底座温度20-80℃,加工室中压力为2-100mTorr。例如,某一蚀刻工艺所用各种气体流率为:氩(Ar)200sccm,溴化氢(HBr)30sccm,提供给电感式耦合天线的功率为1000W,阴极偏压功率100W,晶片底座温度65℃,加工室中压力为4mTorr。
步骤216:去除门极电极层306位于区域322的的部分(如图3G、3H)。电极层306的剩余部分构成门极电极316(例如氮化钛门极电极)。在步骤216中,把α-碳膜324当作蚀刻掩模,把门极电介质层304当作蚀刻停止层。在一实施例中步骤216的等离子蚀刻工艺包括软着陆阶段、过度蚀刻阶段和可选择的刻槽阶段。在另一实施例中,为去除门极电极306的所在区域322部分,步骤216只使用蚀刻工艺的软着陆阶段。
在一实施例中,步骤216的软着陆阶段以很高的蚀刻速度去除门极电极层306的暴露部分的30-90%,而过度蚀刻阶段去除层306的剩余暴露部分(图3G)。另外步骤216的可选择的刻槽阶段,将门极电极216刻槽到预先设置的宽度311(图3H)。在一实施例中,过度蚀刻阶段后执行刻槽阶段。但在另一实施例(未显示)中,在阶段216c后执行刻槽阶段。应用控制加工时间、等离子特定发射波长、激光干涉测量和别的终点技术确定步骤216的每一阶段的持续时间。在一较佳实施例中,步骤216的的各蚀刻阶段应用相同的蚀刻化合物和工艺参数,但要选择性地调整各阶段的阴极偏压功率。
为蚀刻门极电极层306,蚀刻化合物为包括至少一种含溴气体(例如溴化氢,溴气等)的混合气体。混合气体还可包含至少一种可选气体,象氯气、氧气、氮气、氦和氧的混合气体或二氧化氦,以及至少一惰性气体(如氩、氖、氦等),或其组合。
这样的蚀刻化合物为含金属的门极电极层306提供了相对于门极电介质层304的材料(例如象HfO2,HfSiO2,HfSiON等高介质常数电介质材料)的高蚀刻选择比。尤其特别地,步骤216提供的Ti,Ta,TaN相对于HfO2的蚀刻选择比至少为100∶1。
步骤216可以使用阴极(即基板底座)偏压功率控制蚀刻工艺的方向性。尤其特别地,当步骤216应用的阴极功率较小或为零时,门极电极层206的蚀刻方向性降低。一般地,步骤216的软着陆蚀刻阶段的阴极功率比过度蚀刻和刻槽两阶段较大。步骤216的门极电极316可选性刻槽阶段的特征就是在侧部蚀刻(即刻槽)时,阴极功率为零(图3H)。由于蚀刻工艺相对于门极电介质层304的材料的高选择比,在蚀刻门极电极时,层304被当作蚀刻停止层。使用例如控制工艺持续时间的方法,将门极电极316刻槽到预先设定的宽度311。
在一较佳实施例中,步骤216的软着陆阶段使用DPSII HT模块蚀刻氮化钛门极电极层306。所用各种气体流率为:溴化氢(HBr)50-400sccm,氯气(Cl2)0-400sccm(相当于HBr∶Cl2流量之比为1∶8-1∶0),氦(He)0-400sccm,提供给电感式耦合天线的功率为300-3000W,阴极偏压功率0-300W,晶片底座保持温度20-90℃,加工室压力为4-80mTorr。例如,某一蚀刻工艺所用各种气体流率为:溴化氢(HBr)400sccm,氦200sccm,提供给电感式耦合天线的功率为1000W,阴极偏压功率10W,晶片底座保持温度65℃,加工室压力为10mTorr。这样的工艺提供的氮化钛相对于二氧化铪(层304)的选择比至少为100∶1,典型的侧部蚀刻速率为10-100埃/分
上述较佳实施例步骤216的过度蚀刻和刻槽阶段的工艺参数与软着陆阶段相同,但要分别有选择地调整阴极功率。一较佳实施例过度蚀刻阶段的阴极偏压功率为0-300W(某一工艺中此功率为10W),而刻槽阶段此功率为零。同样地,步骤216所提供的相对于门极电介质层304材料和相对于多晶硅的高蚀刻选择比,保持上部接触312的尺寸精确度。
步骤217:蚀刻和去除膜堆402的多晶硅门极电极层408位于区域322的部分(图4D)。层408所剩部分构成门极电极412(例如多晶硅门极电极),步骤210把电介质防反射涂层326作为可耗性蚀刻掩模,把电介质层304(例如二氧化铪)作为蚀刻停止层。某一较佳实施例的步骤217和步骤212可以使用类似的工艺方法。
步骤218:蚀刻和去除门极电介质层304位于区域322的部分(图3I,4E)。门极电介质层304所剩部分构成CMOS晶体管门极结构的门极电介质318(例如高介质常数门极电介质)。步骤218将α-碳膜324作为蚀刻掩模,把基板300当作蚀刻停止层。在一实施例中,步骤218所执行的等离子蚀刻工艺使用的混合气体包括卤素气体(例如氯气,氯化氢等)和还原气体(例如一氧化碳等)。
在一较佳实施例中,使用DPSII HT模块蚀刻二氧化铪门极电介质层304,所用各种气体流率为:氯气(Cl2)2-200sccm,一氧化碳(CO)2-200sccm(相当于Cl2∶CO流量之比为1∶5-5∶1),提供给电感式耦合天线的功率为200-3000W,阴极偏压功率0-300W,晶片底座温度200-350℃,加工室中压力为2-100mTorr。某一蚀刻工艺配方为:氯气(Cl2)40sccm,一氧化碳(CO)40sccm(相当于Cl2∶CO流量之比为1∶1),提供给电感式耦合天线的功率为1100W,阴极偏压功率20W,晶片底座温度350℃,加工室中压力为4mTorr。步骤218完成后,将基板300从DPSII HT模块传送到AXIOMTM等离子灰化模块执行步骤220。
步骤220:分别从模堆302的上部接触312(图3J)或从模堆402的门极电极(图4F)移除α-碳膜324,完成门极结构350和450的制作。某一实施例的步骤220所用各种气体流率为:溴化氢(HBr)2-200sccm,氧气(O2)5-100sccm(相当于HBr∶O2流量之比为1∶10-10∶1),氩(Ar)10-200sccm,另外步骤109提供电源功率为200-1000W,偏压功率0-300W,晶片保持温度0-80℃,加工室压力为2-30mTorr。某一工艺所用各种气体流率为:溴化氢(HBr)60sccm,氧气(O2)28sccm(相当于HBr∶O2流量之比为2∶1),氩(Ar)60sccm,另外步骤109提供电源功率为600W,偏压功率100W,晶片保持温度50℃,加工室压力为4mTorr。另一等离子工艺使用氢气,或氧气与稀释气体(如氩)的混合气体蚀刻α-碳膜324。
步骤222:应用基板机械手130将基板300传送到某一负载锁定室(例如负载锁定室122),然后由输入/输出模块102中的某一基板机械手(例如基板机械手120)将基板传送到测量模块126。
步骤224:使用测量工具104检测基板300和已制造的门极结构350和450的单元尺寸。所测量的尺寸包括表面形状尺寸、临界尺寸、厚度和侧面角度等。与步骤204类似,典型上对基板300的多个区域进行测量,象在统计意义上非常重要的区域数目(例如5-9个区域),之后再将测量的结果平均。在一实施例中所测量的尺寸包括上部接触312、含金属的门极电极316和高介质常数的门极电介质318(图3J的门极结构350)的宽度,或多晶硅门极电极412和高介质常数的门极电介质318(图4F的门极结构450)的宽度。这些测量结果通过连接步骤209,应用于整合半导体基板加工系统100,用来确定对连续的基板切割修整工艺的选择性调整,如图1所示。在另一实施例中(图未式),测量结果用于选择性地调整工艺步骤210,212,214,216,218和220。
步骤226:工艺200询问步骤208的修整工艺是否需要调整。如果步骤226的询问结果是肯定的,工艺200计算调整量并将其传输给步骤208。某一实施例使用系统控制器140计算调整量并将结果传给DPSII模块110。调整修整工艺的方法公开在例如上面引用的2003年5月1日提出申请的序列号为10/428,145和2003年9月19日提出申请的序号为10/666,317的美国专利申请案中。如果步骤226的询问结果是否定的,工艺200执行步骤228。
步骤228:例如使用基板机械手120将基板300从测量模块126运送到输入/输出模块102中的一前开式保持盒(例如前开式保持盒107)。步骤230:工艺200结束。
图5为绘示一典型DPSII反应器500的示意图,此类反应器可用作整合半导体基板加工系统100的加工模块。DPSI和DPSII HT反应器具有相同的配置,但在操作过程中,DPSII HT反应器所具有的高温基板支撑底座能使基板保持在可控温度20-350℃的范围内。
反应器500一般包括加工室510和控制器540,加工室510具有位于传导体(墙壁)530内的晶片支撑底座516。在一实施例中,加工室510的天花板520为充分平展的非传导体。加工室510的其它改进型有其它形状的天花板,例如拱状天花板。含有至少一个感应线圈单元512(图中显示两个同轴单元512)的天线配置在天花板520的上方。感应线圈单元512通过第一匹配网络519,与等离子电源518相连。典型地,等离子电源在50kHz-13.56MHz范围内以某一可调制频率提供至少3000W的功率。
支撑底座(阴极)516通过第二匹配网络524与偏压电源522相连。在一实施例中,偏压电源能够以约为13.6MHZ的频率提供至少500W的连续或脉冲能量。其它实施例则使用直流或脉冲直流作为电源522。典型地,等离子电源518、偏压电源522和加工室墙壁530的参考地与反应器500的接地端534电力相连。
在操作中,基板(例如半导体晶片)514放置在支撑底座516上,气体控制盘538所供工艺气体通过进气埠526形成气态混合物550。等离子电源518向感应线圈单元512、偏离电源522向阴极516分别提供电源,在加工室510内,点燃气态混合物550形成等离子体555。使用节流阀527和真空泵536控制加工室内的压力。将液体管道(未显示)分布于墙壁530内控制加工室墙壁的温度。
一实施例通过稳定支撑底座516的温度来控制晶片514的温度。气源548通过气体管道549向在底座表面上形成的位于晶片514下面的信道提供氦气。氦气用于支撑底座516和晶片514之间的热交换。在加工过程中,可选择地(例如使用底座里的电阻加热器)加热支撑底座516到一预先设定的稳态温度。应用此种热控方法,晶片514被均匀加热,其温度维持在20-350℃的范围内。
熟悉此专业的技术人员熟知可以使用其它方式的蚀刻加工室实现本发明,包括带有远程等离子源的加工室,电子回旋加速谐振(ECR)等离子加工室等。
控制器540包括中央处理器(CPU)544、存贮器542以及中央处理器544的支持电路546,控制蚀刻反应室500中的组件和工艺。为了控制反应器500,控制器540可以是任何一般用途的可工作于工业环境的计算机处理器,用于控制各加工室和子处理器(sub-processors)。存贮器542或计算机可读媒体可以是任何一种数字存贮器,不管是当地的或远程的,比如随机存贮器(RAM)、只读存贮器(ROM)、软盘、硬盘等。支持电路546一般包括缓冲存贮器、时钟电路、输入/出电路和子系统、电源等以传统方式与中央处理器544相连的电路,以支持中央处理器。本发明的方法一般作为软件程序存贮在存贮器542中。软件程序也可以被远离中央处理器544控制的硬件的第二个中央处理器存贮和/或执行。
图6为绘示一较佳灰化反应器600的示意图,此类反应器可用作整合半导体基板加工系统100的加工模块。于2002年10月4日提出的申请号为10/264,664的美国专利申请案(律师档案号为6094)作为例子详细描述了一合适的灰化反应器。此反应器的显着特征简述如下。
反应器600包括加工室602,远程等离子源606,以及控制器608。加工室602一般为一真空容器,包括第一部分610和第二部分612。在一实施例中,第一部分610包括基板底座604、侧壁616和真空泵614。第二部分612包括盖子618和气体配送盘(喷嘴)620,限制气体混合区622和反应区624。盖子618和侧壁616由金属(例如铝、不锈钢等)制作,电性连接于参考地660。
基板底座604在反应区624支撑基板(晶片)626。某一实施例的基板底座604包括一热辐射源诸如气体充填式喷灯628,内置电阻加热器630和管道632。管道632从气源634通过晶片支撑表面的沟槽(未显示)向晶片626的后方输送气体(例如氦)。晶片626的温度可控制在约20-400℃内。
真空泵614配接于加工室602的侧壁616上形成的排气孔636。真空泵614用来保持加工室102中希望的气体压力和从加工室排放加工后的气体和别的挥发性化合物。某一实施例的真空泵614还包括节流阀638,用以控制加工室602内气体压力。
加工室602也包括保持和释放晶片626、检测工艺结束、内部诊断等的传统系统。此类系统被共同描述为支持系统640。
远程等离子源606包括电源646,气体配送盘644和远程等离子室642。在一实施例中,电源646包括射频(RF)发生器648,调整装置(tuningassembly)650和器具(applicator)652。射频(RF)发生器648在200-600Hz频率的范围内能提供约200-5000W的功率。器具652与远程等离子室642感应耦合连接,向工艺用气体(或混合气体)664提供能量使之到室中的等离子区662。在本实施例中,等离子室642呈环形几何形状限定等离子区,促进基团核素的有效产生,并降低等离子区的温度。其它实施例中,远程等离子源606可以是微波等离子源,但是使用感应式耦合等离子方法,其剥蚀速度一般较高。
气体配送盘644使用管道666传送工艺气体664到远程等离子室642。气体配送盘644(或管道666)安装有诸如质量流量控制器和关断阀等装置,控制流向室642的每一单独气体的压力和流量。工艺气体664在等离子662中被电离分解成活性核素。
通过盖618中入口668将活性核素引入混合室622。在气体通过喷嘴620的多个开孔670到达反映区624之前,将工艺气体664的离子核素在混合区622充分中性化,使带电电荷等离子体对晶片626上组件的损坏减少到最小。
控制器608包括中央处理器(CPU)654、存贮器656以及中央处理器608的支持电路658。中央处理器654可以是任何一般用途的可工作于工业环境的计算机处理器。软件程序存贮在存贮器656,例如随机存贮器(RAM)、只读存贮器(ROM)、软盘、硬盘等其它数字存贮器。支持电路658一般包括缓冲存贮器、时钟电路、输入/出子系统、电源等,且与中央处理器608相连。
软件程序一旦在中央处理器654运行,中央处理器就变成了按照本发明工艺方法运行的特殊用途的计算机(控制器)608,其控制反应器600。软件程序也可以被远离反应器600的第二个控制器(未显示)存贮和/或执行。
以上所述,仅是本发明的较佳实施例而已,并非对本发明作任何形式上的限制,虽然本发明已以较佳实施例公开如上,然而并非用以限定本发明,任何熟悉本专业的技术人员,在不脱离本发明技术方案范围内,当可利用上述揭示的结构及技术内容作出些许的更动或修饰为等同变化的等效实施例,但是凡是未脱离本发明技术方案的内容,依据本发明的技术实质对以上实施例所作的任何简单修改、等同变化与修饰,均仍属于本发明技术方案的范围内。

Claims (10)

1.一种制造具有高介质常数门极电介质的场效应晶体管门极结构的方法,其特征在于,该方法包括:
(a)测量门极结构的模制光刻胶掩模修整前的尺寸以确定修整工艺;
(b)修整模制光刻胶掩模到预先设定的宽度;
(c)在整模制光刻胶掩模的底部形成硬掩模,该硬掩模包含布置在具有α-碳的薄膜上的一防反射涂层;
(d)使用等离子蚀刻工艺形成高介质常数的门极电介质层来制造门极结构;
(e)测量门极结构尺寸,以调整修整工艺;以及
(f)在单一组合工具中,执行步骤(a)-(e)。
2.根据权利要求1所述的制造具有高介质常数门极电介质的场效应晶体管门极结构的方法,其特征在于,组合工具包括一个测量模块、至少一个等离子蚀刻反应器、至少一个等离子灰化反应器和一真空密封基板传送室。
3.根据权利要求2所述的制造具有高介质常数门极电介质的场效应晶体管门极结构的方法,其特征在于,测量模块包括一测量工具,该工具应用至少以下其中一种无损光学测量技术:光谱学法、干涉测量法、散射仪法、反射计法和椭圆偏光法。
4.根据权利要求1所述的制造具有高介质常数门极电介质的场效应晶体管门极结构的方法,其特征在于,修整前的尺寸包括以下至少其中一种尺寸:外形尺寸、临界尺寸、厚度和侧面角度。
5.根据权利要求1所述的制造具有高介质常数门极电介质的场效应晶体管门极结构的方法,其特征在于,门极结构的尺寸包括以下至少其中一种:门极结构的上部接触的宽度、门极电极的宽度、门极电介质的宽度。
6.根据权利要求1所述的制造具有高介质常数门极电介质的场效应晶体管门极结构的方法,其特征在于,门极结构包括:
一种含金属的门极电极,其至少包含钛、钽、钨、氮化钛、氮化钽和氮化钨其中之一;以及
该门极电介质,包含HfO2,、HfSiO2和HfSiON中至少一种。
7.根据权利要求6所述的制造具有高介质常数门极电介质的场效应晶体管门极结构的方法,其特征在于,门极结构还包括一放置在含金属的门极电极上的上部接触,且该上部接触包含掺杂多晶硅。
8.根据权利要求1所述的制造具有高介质常数门极电介质的场效应晶体管门极结构的方法,其特征在于,门极结构包括:
一门极电极,包含掺杂多晶硅;以及
该门极电介质,包含HfO2,、HfSiO2和HfSiON中至少一种。
9.一种促进制造具有高介质常数电介质的场效应晶体管门极结构的工艺整合的组合工具,其特征在于,包括:
至少一第一蚀刻反应器,用来蚀刻高介质常数电介质材料;
至少一第二蚀刻反应器,用来蚀刻多晶硅或金属;
至少一灰化反应器;以及
至少一负载锁定室;
一真空密封基板传送室,与该至少一第一反应器、该至少一第二反应器、该至少一灰化反应器和该至少一负载锁定室相连接;以及
一输入/输出模块,与至少一负载锁定室相连接,该输入/输出模块包括至少一基板机械手和一使用无损光学测量技术的测量工具。
10.一种用于制造具有高介质常数门极电介质的场效应晶体管门极结构的组合工具,其特征在于,包括:
一传送室,具有一机械手,用来在加工室之间传送基板;
一蚀刻反应器,与该传送室相连,用来蚀刻光刻胶、防反射涂层和α-碳中的至少其中一种;
两个蚀刻反应器,均连接于该传送室,且用来蚀刻高介质常数电介质材料、多晶硅和金属中的至少其中一种;
一蚀刻反应器,与该传送室相连,用来去除光刻胶和α-碳中的至少其中一种;
两个负载锁定室,均连接于该传送室,以及
一输入/输出模块,与该两个负载锁定室相连,该输入/输出模块包括至少一基板机械手和一利用无损光学技术的测量工具。
CNB2005100085405A 2004-07-29 2005-02-18 用于制造场效应晶体管门极结构的工艺整合的组合设备工具和方法 Expired - Fee Related CN100383925C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/909,009 US7431795B2 (en) 2004-07-29 2004-07-29 Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US10/909,009 2004-07-29

Publications (2)

Publication Number Publication Date
CN1728340A true CN1728340A (zh) 2006-02-01
CN100383925C CN100383925C (zh) 2008-04-23

Family

ID=35730809

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100085405A Expired - Fee Related CN100383925C (zh) 2004-07-29 2005-02-18 用于制造场效应晶体管门极结构的工艺整合的组合设备工具和方法

Country Status (2)

Country Link
US (2) US7431795B2 (zh)
CN (1) CN100383925C (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102403455A (zh) * 2010-09-17 2012-04-04 中芯国际集成电路制造(上海)有限公司 制作相变存储器元件的方法
CN102499758A (zh) * 2011-10-18 2012-06-20 浙江大学 一种生理信息网络跟踪方法和系统
CN102709230A (zh) * 2012-05-22 2012-10-03 上海华力微电子有限公司 一种形成半导体通孔的方法
CN102804338A (zh) * 2009-06-12 2012-11-28 诺发系统有限公司 界面表面的远程等离子处理

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
WO2006055984A2 (en) * 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US20070134821A1 (en) * 2004-11-22 2007-06-14 Randhir Thakur Cluster tool for advanced front-end processing
US8637340B2 (en) 2004-11-30 2014-01-28 Solexel, Inc. Patterning of silicon oxide layers using pulsed laser ablation
US7550381B2 (en) 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US7645710B2 (en) * 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) * 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7678710B2 (en) * 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
EP2041774A2 (en) * 2006-07-03 2009-04-01 Applied Materials, Inc. Cluster tool for advanced front-end processing
US8367303B2 (en) * 2006-07-14 2013-02-05 Micron Technology, Inc. Semiconductor device fabrication and dry develop process suitable for critical dimension tunability and profile control
KR100838527B1 (ko) * 2006-07-31 2008-06-17 삼성전자주식회사 상변화 기억소자 형성 방법
TWI435376B (zh) * 2006-09-26 2014-04-21 Applied Materials Inc 用於缺陷鈍化之高k閘極堆疊的氟電漿處理
US20080138178A1 (en) * 2006-12-06 2008-06-12 Axcelis Technologies,Inc. High throughput serial wafer handling end station
US7674350B2 (en) * 2007-01-22 2010-03-09 Infineon Technologies Ag Feature dimension control in a manufacturing process
US20080216077A1 (en) * 2007-03-02 2008-09-04 Applied Materials, Inc. Software sequencer for integrated substrate processing system
US20080276867A1 (en) 2007-05-09 2008-11-13 Jason Schaller Transfer chamber with vacuum extension for shutter disks
US20090114346A1 (en) * 2007-11-05 2009-05-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
JP5223364B2 (ja) * 2008-02-07 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法及び記憶媒体
US8263499B2 (en) * 2008-03-31 2012-09-11 Tokyo Electron Limited Plasma processing method and computer readable storage medium
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8791001B2 (en) * 2008-09-08 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. N2 based plasma treatment and ash for HK metal gate protection
JP2010080846A (ja) * 2008-09-29 2010-04-08 Tokyo Electron Ltd ドライエッチング方法
WO2010048076A2 (en) * 2008-10-21 2010-04-29 Applied Materials, Inc. Plasma source for chamber cleaning and process
US20100193847A1 (en) * 2009-01-30 2010-08-05 Freescale Semiconductor, Inc. Metal gate transistor with barrier layer
US8084339B2 (en) * 2009-06-12 2011-12-27 Novellus Systems, Inc. Remote plasma processing of interface surfaces
TWI408766B (zh) * 2009-11-12 2013-09-11 Hitachi High Tech Corp Vacuum processing device
US20110136346A1 (en) * 2009-12-04 2011-06-09 Axcelis Technologies, Inc. Substantially Non-Oxidizing Plasma Treatment Devices and Processes
US8962380B2 (en) 2009-12-09 2015-02-24 Solexel, Inc. High-efficiency photovoltaic back-contact solar cell structures and manufacturing methods using thin planar semiconductor absorbers
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
US8946547B2 (en) 2010-08-05 2015-02-03 Solexel, Inc. Backplane reinforcement and interconnects for solar cells
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
JP5810929B2 (ja) * 2012-01-13 2015-11-11 シンフォニアテクノロジー株式会社 ウェーハ搬送装置
WO2013149081A1 (en) * 2012-03-28 2013-10-03 Solexel, Inc. End point detection for back contact solar cell laser via drilling
JP2014120661A (ja) * 2012-12-18 2014-06-30 Tokyo Electron Ltd ダミーゲートを形成する方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9899227B2 (en) * 2013-02-20 2018-02-20 Lam Research Corporation System, method and apparatus for ion milling in a plasma etch chamber
US9281190B2 (en) * 2013-03-13 2016-03-08 Applied Materials, Inc. Local and global reduction of critical dimension (CD) asymmetry in etch processing
US8956886B2 (en) 2013-03-14 2015-02-17 Applied Materials, Inc. Embedded test structure for trimming process control
US9378992B2 (en) 2014-06-27 2016-06-28 Axcelis Technologies, Inc. High throughput heated ion implantation system and method
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9607803B2 (en) 2015-08-04 2017-03-28 Axcelis Technologies, Inc. High throughput cooled ion implantation system and method
US9978601B2 (en) * 2015-10-20 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for pre-deposition treatment of a work-function metal layer
WO2017172158A1 (en) * 2016-03-29 2017-10-05 Applied Materials, Inc. Integrated metrology and process system for semiconductor substrate local stress and overlay correction
JP6723659B2 (ja) * 2017-01-12 2020-07-15 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
WO2020009742A1 (en) 2018-07-05 2020-01-09 Applied Materials, Inc. Silicide film nucleation
JP7058239B2 (ja) * 2019-03-14 2022-04-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US20200411342A1 (en) * 2019-06-27 2020-12-31 Applied Materials, Inc. Beamline architecture with integrated plasma processing
JP7511380B2 (ja) * 2020-05-01 2024-07-05 東京エレクトロン株式会社 処理システム

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5340438A (en) * 1993-06-07 1994-08-23 Eastman Kodak Company Low temperature insitu image reversal process for microelectric fabrication
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
JPH1050802A (ja) * 1996-08-05 1998-02-20 Kokusai Electric Co Ltd 基板処理装置
US6911707B2 (en) * 1998-12-09 2005-06-28 Advanced Micro Devices, Inc. Ultrathin high-K gate dielectric with favorable interface properties for improved semiconductor device performance
US6174818B1 (en) * 1999-11-19 2001-01-16 Taiwan Semiconductor Manufacturing Company Method of patterning narrow gate electrode
US6492222B1 (en) * 1999-12-22 2002-12-10 Texas Instruments Incorporated Method of dry etching PZT capacitor stack to form high-density ferroelectric memory devices
WO2002020864A2 (en) * 2000-06-16 2002-03-14 Applied Materials, Inc. System and method for depositing high dielectric constant materials and compatible conductive materials
JP2002100672A (ja) 2000-09-21 2002-04-05 Nec Corp 素子分離用トレンチの形成方法
US6625497B2 (en) * 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6960416B2 (en) * 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
US7217665B2 (en) * 2002-11-20 2007-05-15 Applied Materials, Inc. Method of plasma etching high-K dielectric materials with high selectivity to underlying layers
US6806095B2 (en) 2002-03-06 2004-10-19 Padmapani C. Nallan Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
US7094704B2 (en) * 2002-05-09 2006-08-22 Applied Materials, Inc. Method of plasma etching of high-K dielectric materials
US6579809B1 (en) * 2002-05-16 2003-06-17 Advanced Micro Devices, Inc. In-situ gate etch process for fabrication of a narrow gate transistor structure with a high-k gate dielectric
US6924191B2 (en) * 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US6902681B2 (en) * 2002-06-26 2005-06-07 Applied Materials Inc Method for plasma etching of high-K dielectric materials
US20040072446A1 (en) * 2002-07-02 2004-04-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
JP2004047561A (ja) 2002-07-09 2004-02-12 Olympus Corp 光導電スイッチモジュールおよびその製造方法
US6855643B2 (en) * 2002-07-12 2005-02-15 Padmapani C. Nallan Method for fabricating a gate structure
US20040007561A1 (en) * 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
US6773367B2 (en) 2002-07-15 2004-08-10 Case Corporation Belt drive two speed shift mechanism
US20040018738A1 (en) * 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US6875664B1 (en) * 2002-08-29 2005-04-05 Advanced Micro Devices, Inc. Formation of amorphous carbon ARC stack having graded transition between amorphous carbon and ARC material
US6893974B1 (en) * 2002-09-05 2005-05-17 Cypress Semiconductor Corp. System and method for fabricating openings in a semiconductor topography
US20060060565A9 (en) * 2002-09-16 2006-03-23 Applied Materials, Inc. Method of etching metals with high selectivity to hafnium-based dielectric materials
US6759286B2 (en) * 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
US20040056517A1 (en) 2002-09-20 2004-03-25 Sam Farber Portable folding chair
US6767824B2 (en) * 2002-09-23 2004-07-27 Padmapani C. Nallan Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US20040132311A1 (en) * 2003-01-06 2004-07-08 Applied Materials, Inc. Method of etching high-K dielectric materials
US7374696B2 (en) * 2003-02-14 2008-05-20 Applied Materials, Inc. Method and apparatus for removing a halogen-containing residue
US6764947B1 (en) * 2003-02-14 2004-07-20 Advanced Micro Devices, Inc. Method for reducing gate line deformation and reducing gate line widths in semiconductor devices
US20040209468A1 (en) * 2003-04-17 2004-10-21 Applied Materials Inc. Method for fabricating a gate structure of a field effect transistor
DE10318023A1 (de) * 2003-04-19 2005-01-13 Ejot Gmbh & Co. Kg Schraubelement mit einem angeformten Federelement
JP2005039015A (ja) * 2003-07-18 2005-02-10 Hitachi High-Technologies Corp プラズマ処理方法および装置
US6911399B2 (en) * 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102804338A (zh) * 2009-06-12 2012-11-28 诺发系统有限公司 界面表面的远程等离子处理
CN102403455A (zh) * 2010-09-17 2012-04-04 中芯国际集成电路制造(上海)有限公司 制作相变存储器元件的方法
CN102499758A (zh) * 2011-10-18 2012-06-20 浙江大学 一种生理信息网络跟踪方法和系统
CN102709230A (zh) * 2012-05-22 2012-10-03 上海华力微电子有限公司 一种形成半导体通孔的方法
CN102709230B (zh) * 2012-05-22 2015-05-20 上海华力微电子有限公司 一种形成半导体通孔的方法

Also Published As

Publication number Publication date
US7354866B2 (en) 2008-04-08
US7431795B2 (en) 2008-10-07
CN100383925C (zh) 2008-04-23
US20070026547A1 (en) 2007-02-01
US20060021702A1 (en) 2006-02-02

Similar Documents

Publication Publication Date Title
CN100383925C (zh) 用于制造场效应晶体管门极结构的工艺整合的组合设备工具和方法
US11177136B2 (en) Abatement and strip process chamber in a dual loadlock configuration
US9786503B2 (en) Method for increasing pattern density in self-aligned patterning schemes without using hard masks
CN103210478B (zh) 两阶段的均匀干式蚀刻
US9911620B2 (en) Method for achieving ultra-high selectivity while etching silicon nitride
CN102687249B (zh) 用于含硅薄膜的平滑SiConi蚀刻法
TWI631616B (zh) 利用循環蝕刻製程對蝕刻停止層進行蝕刻的方法
US8435902B2 (en) Invertable pattern loading with dry etch
TW202113506A (zh) 光阻膜的乾式腔室清潔
US20090139657A1 (en) Etch system
CN1912178A (zh) 双频率偏压化学气相沉积室和用其制造光掩模的方法
KR20150103642A (ko) 금속 산화물 막 및 금속 질화물 막의 표면 거칠기를 감소시키기 위한 rf 사이클 퍼지
CN105453233A (zh) 于外延生长之前预清洁基板表面的方法和设备
CN1904727A (zh) 便于光掩模制造中的工艺集成的组合工具和方法
JP2023520391A (ja) フォトレジストのドライ除去用プロセスツール
JP2010500760A (ja) 基板支持体の加熱及び冷却
KR101801696B1 (ko) 감소된 계면 오염을 갖는 층들의 증착 방법
TW477007B (en) Method and apparatus for high-resolution in-situ plasma etching of inorganic and metal films
US20210017643A1 (en) Chamfer-less via integration scheme
CN1604272A (zh) 在蚀刻处理中控制关键尺寸的方法
TW201517122A (zh) 將用於離子植入製程之硬光罩層圖案化的方法
US12040193B2 (en) Efficient cleaning and etching of high aspect ratio structures
CN101960567A (zh) 用于从衬底移除聚合物的方法和设备
WO2020243342A1 (en) High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
TW202015128A (zh) 微電子工件製造用之矽氮化物層的區域選擇性蝕刻方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080423

Termination date: 20160218

CF01 Termination of patent right due to non-payment of annual fee