CN1647252A - 窗型探针、等离子体监视装置及等离子体处理装置 - Google Patents

窗型探针、等离子体监视装置及等离子体处理装置 Download PDF

Info

Publication number
CN1647252A
CN1647252A CNA038088932A CN03808893A CN1647252A CN 1647252 A CN1647252 A CN 1647252A CN A038088932 A CNA038088932 A CN A038088932A CN 03808893 A CN03808893 A CN 03808893A CN 1647252 A CN1647252 A CN 1647252A
Authority
CN
China
Prior art keywords
plasma
window type
type probe
probe
waveform
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA038088932A
Other languages
English (en)
Inventor
八坂三夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Japan Science and Technology Agency
Original Assignee
Japan Science and Technology Agency
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Japan Science and Technology Agency filed Critical Japan Science and Technology Agency
Publication of CN1647252A publication Critical patent/CN1647252A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

涉及窗型探针、等离子体监视装置及等离子体处理装置,目的在于:直接、简单地检测出因高频或高电压的施加而产生的等离子体的状态。其构成为:至少设置在与等离子体相面对的面的至少一部分上设置了开口部的导电性支撑构件(5)、设置于所述导电性支撑构件(5)的开口部并在一侧表面上具有探针电极(2)的电介体构件(1)。

Description

窗型探针、等离子体监视装置及等离子体处理装置
技术领域
本发明涉及窗型探针、等离子体监视装置及等离子体处理装置,特别涉及用于简单地、迅速地、精度优良地检测出对被处理基体使用高频或高电压产生的等离子体放电来进行处理的等离子体处理装置中的等离子体的变动的构成中具有特征的窗型探针、等离子体监视装置及等离子体处理装置。
背景技术
现今,在半导体制造领域中,以等离子体CVD、灰化、蚀刻、溅射或表面处理等为目的,对被处理基体使用等离子体放电进行处理的等离子体处理方法被广泛应用。
在实施该等离子体处理的等离子体处理工序中,在施加高电压或高频电源的高频电压时,会有由于所产生的等离子体的稳定性、再现性的不良而引起设于被处理基体上的电子元件特性的变动的问题。
为了解决此种问题,要求可靠地检测出等离子体的再现性、稳定性的确认。
现在,为了应对此种要求,进行了各种各样的研究,在通过在异常放电中检测出等离子体的发光强度的变化、电源的电压·电流的变化、等离子体·阻抗的变化或高谐波的变化,对等离子体的再现性、稳定性即变动的确认中,尝试通过检测气压的变动、发光光谱的变化来进行等离子体的变动的检测。
另外,为了监视RF电源的电压或电流的变化或等离子体·阻抗的变化,向电源线中插入检测器。
但是,所述的公知方法中,有对等离子体特性产生影响以及迅速性、简单性方面的问题,另外,成本花费也较大,还有需要用于设置它们的额外的空间的问题。
发明内容
所以,本发明的目的在于,直接、简单地检测出因高频或高电压的施加而产生的等离子体的状态。
图1是本发明的原理的构成图,参照该图1,对用于解决本发明的问题的方案进行说明。
参照图1
(1)本发明是窗型探针,其特征是:至少具有在与等离子体相面对的面的至少一部分上设置了开口部的导电性支撑构件5、设置于所述导电性支撑构件5的开口部上并在一侧表面上具有探针电极2的电介体构件1。
此种窗型探针由于仅设置在处理室的侧壁上,因此不需要在处理室的内部插入设置探针,所以,窗型探针的存在就不会对等离子体的状态产生影响,而可以利用简单的构成监视等离子体状态。
即,由于由产生于处理室内的等离子体引起,在与等离子体相面对的电介体构件1的表面上感应出被称为壁电位的电位,因此通过监视该壁电位的变动,就可以监视等离子体状态。而且,为了使检测电位稳定化,最好将探针电极2介由绝缘构件3由电磁屏蔽构件4屏蔽。
(2)本发明在所述(1)中,特征是:在探针电极2上连接了阻抗匹配机构6。
这样,为了从探针电极2中检测出电压,需要在与电压测定系统之间,连接放大器、阻抗转换器或电阻等阻抗匹配机构6。
(3)另外,本发明在所述(1)或(2)中,特征是:电介体构件1由光学上透明的玻璃构成。
被感应出壁电位的电介体构件1虽然不透明也可以,但是优选使用光学上透明的玻璃,这样,就可以光学地观测处理室内部的反应等。
(4)另外,本发明在所述(3)中,特征是:探针电极2由光学上透明的导电性物质构成。
在为了提高壁电位的检测灵敏度而增大了探针电极2的面积的情况下,为了介由该窗型探针光学地观测处理室内部的反应等,有必要也光学上透明的导电性物质构成探针电极2。
(5)另外,本发明在所述(1)~(4)的任意一项中,特征是:设于导电性支撑构件5上的开口部具有观察窗的功能。
这样,通过使之具有观察窗的功能,就可以兼用作设于处理室上的窥视孔,即观察窗,从而可以使装置构成简单化。
(6)另外,本发明是等离子体监视装置,其特征是,使用所述(1)至(5)中任意一项所述的窗型探针,在该窗型探针的输出端,具备计测电压波形的电压波形计测部。
这样,在使用所述窗型探针构成等离子体监视装置的情况下,可以在窗型探针的输出端设置计测电压波形的电压波形计测部,利用电压波形监视等离子体状态。
而且,电压波形计测部至少具备对电压波形进行模拟/数字转换的A/D转换部及对电压波形进行处理并导出平均波形、平均电压、平均振幅等而监视等离子体的状态的数据处理部。
(7)另外,本发明在所述(6)中,特征是:具有检测出由电压波形计测部检测出的电压波形的周期性的波形的变化的不一致量而检测出等离子体的稳定性的过程监视机构。
这样,通过检测出电压波形的周期性的波形的变化的不一致量,就可以精度优良地监视等离子体处理中的等离子体状态。
(8)另外,本发明在所述(6)中,特征是:具有利用由电压波形计测部检测出的电压波形的变化来检测等离子体的异常放电的异常放电监视机构。
这样,通过利用由电压波形计测部检测出的电压波形的变化来检测出等离子体的异常放电,就可以监视在等离子体处理中突发的异常放电。
(9)另外,本发明是等离子体处理装置,其特征是:具有所述(6)至(8)中任意一项所述的等离子体监视装置。
这样,通过在等离子体处理装置上设置所述的等离子体监视装置,就可以进行再现性高的等离子体处理。
(10)另外,本发明在所述(9)中,特征是:设置了开口部的导电性支撑构件5是构成反应容器的观察窗的凸缘部,电介体构件1是密闭凸缘部的透明玻璃板。
这样,在已经完成的等离子体处理装置上安装等离子体监视装置时,可以利用构成观察窗即窥视孔的凸缘部,这样,由于不需要用于安装探针的特别的空间,因此可以使装置构成简单化。
附图说明
图1是本发明的原理的构成的说明图。
图2是本发明的实施方式1的带窗型探针的等离子体处理装置的概略构成图。
图3是本发明的实施方式1中使用的窗型探针的概略构成图。
图4是RF放电的窗型探针的检测波形的说明图。
图5是在RF放电中输入电力变动时的窗型探针的检测波形的说明图。
图6是在RF放电中的装置异常而将RF电源立即切断时的窗型探针的检测波形的说明图。
图7是本发明的实施方式1的窗型探针的检测波形的处理方法的说明图。
图8是本发明的实施方式2的带异常放电监视装置的等离子体处理装置的概略构成图。
图9是本发明的实施方式2的DC放电中的异常放电时的窗型探针的检测波形的说明图。
图10是本发明的实施方式2的RF放电中的异常放电时的窗型探针的检测波形的说明图。
图11是本发明的实施方式3的带异常放电监视装置的等离子体处理装置的概略构成图。
图12是本发明的实施方式3的异常放电检测方法的说明图。
图13是本发明的实施方式4的窗型探针的概略构成图。
具体实施方式
这里,参照图2~图7,对本发明的实施方式1的带窗型探针的等离子体处理装置及检测信号处理方法进行说明。
参照图2
图2是本发明的实施方式1的带窗型探针的等离子体处理装置的概略构成图。
该等离子体处理装置由具有气体导入口12、排气口13及探针安装部14的处理室11,配置于处理室内的使放置硅晶圆16的下部电极15及兼作喷射导入气体的喷头的上部电极17相面对的平行平板型电极,借助由阻塞电容器等构成而进行阻抗匹配的匹配器18对下部电极15施加13.56MHz的RF电力的高频电源19,及将上部电极17接地的接地配线20构成。
另外,探针安装部14是由构成通常的窥视孔的凸缘构件形成的,在该凸缘构件上安装窗型探针30,来自该窗型探针30的检测输出借助同轴电缆与数字示波器40连接。
而且,该数字示波器40的输入阻抗例如为50欧姆。
参照图3
图3是本发明的实施方式1的窗型探针的概略构成图,该窗型探针由通常的窥视孔中使用的光学上透明的科瓦铁镍钴合金玻璃等玻璃板31、相对于该玻璃板31的等离子体设于外侧的由ITO等制成的探针电极32、对探针电极32的表面进行绝缘覆盖的聚酯等透明绝缘膜33、设于其上并对探针电极32进行电磁屏蔽的ITO屏蔽片34及阻抗转换器35构成。
此时,探针电极32为了不与探针安装部14电短路,周边部被去掉,另外,在ITO屏蔽片34及透明绝缘膜33上设有微小的开口部,穿过该微小开口部,探针电极32被同轴电缆连接在阻抗转换器35上。
另外,该探针安装部14与通常的窥视孔相同,形成通过使用O形圈及油脂等来真空密闭的构造。
而且,在这种情况下,在探针电极32和同轴电缆之间的接触中,使用例如弹簧销,另外,ITO屏蔽片34和探针安装部14之间的接触也同样进行。
这样,由于将窗型探针30的窗部全都用透明构件构成,因此该窗型探针30具有如下的划时代的极大的优点,即,也可以作为等离子体处理装置的处理室的观察窗发挥作用。
下面,对该窗型探针的等离子体状态的监视原理进行说明。
再参照图2
从气体导入口12将反应气体向处理室11内导入,在一定的压力下将RF电力加在上部电极17和下部电极15之间时,则在电极间就会产生等离子体21,从所生成的等离子体中,由于其有密度梯度,因此离子和电子向处理室11的壁侧扩散。
该扩散的离子及电子的电流密度由于依赖于等离子体主体的密度,因此当在处理室11的一部分上设置了绝缘物时,就会在绝缘物表面,并由此在本发明中的玻璃板31的表面感应出作为等离子体主体所具有的电位的等离子体电位,和根据从等离子体主体扩散穿过形成于绝缘物的表面附近的铠装(sheath)的离子流或电子流的量而按照形成均衡的方式被称为壁电位的电位。
在该玻璃板31的表面感应出的壁电位比等离子体电位低铠装电位的量,在高频放电的情况下,显示出与等离子体的激发频率同步的电位变化,另外,在直流放电中,显示出恒定的电位。
但是,在等离子体21因任何的原因而变动、摇摆的情况下,例如,当发生电源的变动、气压的变动之类的状况时,该等离子体21就会像等离子体22那样状态发生变化,并与该状况变化对应,向处理室11的壁部扩散的离子流或电子流也会敏感地变化,因此,设于处理室11中的作为电介体的玻璃板31上感应出的壁电位也会敏感地变化。
所以,DC放电中,壁电位波形就不会恒定,而变为与等离子体的变动同步变动的波形,在RF放电的情况下,与等离子体的激发频率同步的电位波形发生变动,波形的失真或峰值等发生变化。
另外,在像产生异常放电等那样发生了突发的变化的情况下,由于等离子体急剧地变化,质量轻的电子会敏感地反应,因此电子流会急剧地减少,因而壁电位也会敏感并且急剧地向正侧变化,另一方面,相反在增加的情况下,壁电位会向负侧变化。
所以,当壁电位变化时,在设置于处理室11上的玻璃板31的设于未与等离子体相面对的一面上的探针电极32上,就会因静电感应而感应出与等离子体的变化对应的电位变化。
通过计测该感应电位,处理该电位信息,就可以检测出壁电位的峰值、失真的状态等等离子体的状况变化,通过以其为指标,就可以迅速并且简单地进行等离子体的稳定性、再现性、变动等的监视、确认。
但是,在窗型探针30的玻璃板31的表面感应出的壁电位,由于由玻璃板31的周围形成的铠装的阻抗和探针自身的阻抗决定,所以,为了使探针电极32与等离子体电位完全地平行波动,就必须不仅增大激发频率,而且还要增大相对于高谐波成分的探针电极32的阻抗。
即,有必要缩小铠装的阻抗,增大窗型探针自身的阻抗。
为了缩小铠装的阻抗,可以考虑单纯地增大玻璃板31的表面积,本发明的窗型探针30的玻璃板31的与等离子体21、22相面对的面积充分大。
而且,由ITO构成的探针电极32的阻抗即使不准确地知道也没关系,由于阻抗无限大时的探针电压会取最大值,因此按照使探针的壁电位取最大值的方式调整阻抗即可。
下面,参照图4~图6,对使用本发明的窗型探针测定的电压波形进行说明。
参照图4(a)
图4(a)是从高频电源18向处理室11供给电力而稳定地生成等离子体时的从窗型探针30中输出的电压波形。
图中,横轴为时间[秒],纵轴为电压,与频率13.56MHz对应得到以1个周期73纳秒(73ns=7.3×10-8s)循环的稳定的电压波形,从而可以测定等离子体的振动波形及振幅。
参照图4(b)
图4(b)是在不稳定的状态的等离子体的情况下观测到的电压波形,如图所示,测定到该电压波形中有失真,峰值也在每一个周期中不停变动。
参照图5
图5是RF放电中输入电力变动的情况下的窗型探针的检测波形的说明图,观测到与输入电力的变动对应、检测波形也缓慢地变化。
此时,确认检测波形的峰值也与RF输入电力成比例,该窗型探针的检测波形按高频电源19的频率的周期变化,波形的失真的状态、峰值等反映出等离子体的特性。
参照图6
图6是RF放电中的因装置异常而将RF电源立即切断时的窗型探针的检测波形的说明图,由于RF电源被立即切断,因此质量轻的电子急剧地扩散,壁电位向负侧发生变化,所以检测波形反映向负侧。
这样,本发明的窗型探针30由于可以与等离子体的状态的变化的状况对应地捕捉到扩散的离子流或电子流的敏感的变化,因此可以可靠地并且迅速地灵敏度优良地检测出等离子体状态的变化。
下面,参照图7,对本发明的实施方式1的窗型探针的检测波形的处理方法进行说明。
参照图7(a)
如图7(a)所示,由窗型探针30检测出的电压波形f(t)中,从任意的时间或任意数(n)的周期的量的波形fi(t)中,求出平均值Vav(m)、振幅Vav(pp)、平均化了的波形fav(t)。
此时,平均化了的波形fav(t)是根据
fav(t)=∑fi(t)/n
而求出的。
参照图7(b)
下面,基于该平均化了的波形fav(t),求出平均值Vav(m)及振幅Vav(pp)。
为了将该平均值Vav(m)、振幅Vav(pp)及平均化了的波形fav(t)的相对于稳定的等离子体状态的值的失真系数作为指标进行使用,在以成为基本波的波形当作对象的等离子体处理装置的特定的处理条件下,用窗型探针预先取得等离子体稳定的状态的检测波形数据,将其作为基本波数据。
对于该基本波数据,在基本波的任意的时间或任意的数上取周期量,分别求出作为1个周期波形平均化了的波形fav0(t)、Vav0(pp)及Vav0(m)。
另外,由于这些值与用于生成等离子体的输入电力对应地变化,因此预先求得与输入电力对应的系数,将它们作为设定初期值存储起来。
这里,在将检测出的检测波形设为f(t)时,作为表示等离子体处理中的探针波形相对于基本波以何种程度失真的指标的失真系数α由于被表示为
α=fav(t)/fav0(t)
因此,由此就可以清楚有何种程度的高谐波成分,波形是否失真。
另外,同样,关于Vav(m)、Vav(pp),也以Vav0(m)、Vav0(pp)为基准,将各自的系数设为m、p,定义为:
m=Vav(m)/Vav0(m)
p=Vav(pp)/Vav0(pp)
利用这些系数α、m、p,就可以检测出探针检测波形的大小的变动和摇摆的状态。
即,预先对每个等离子体的输入电力设定这些系数α、m、p,其与等离子体处理装置在等离子体处理中,对探针检测波形的每个任意的时间或周期量,求得其间的平均波形fav(t),与基准波形的fav(0)比较,在数据处理部中判定该系数α’比预先的设定值大还是小,在比预先设定的值大或小的情况下,向等离子体装置发出警报。
例如,即使当失真系数α’或Vav(pp)在设定范围内,而Vav(m)在设定范围外时,由于除了等离子体电力的输入的问题以外,考虑因与气体流量、真空度的有关的问题使等离子体变动,因此发出等离子体为不稳定状态的警告。
另外,在只是Vav(pp)脱离设定值的情况下,则考虑等离子体21和高频电源19的阻抗是否取得匹配、或者高频电源19的输入电力设定的偏差,在比预先设定的值小的情况下,由于未取得与等离子体的匹配,因此在发出警告信号的同时,向等离子体电源输出控制信号,以取得与等离子体的匹配。
另一方面,当Vav(pp)比预先设定的值大时,由于可以判断为向高频电源19的输入功率设定的偏差,因此就发出设定错误的警告信号。
本发明的实施方式1的窗型探针中,由于在长时间实施等离子体处理,而沉积了绝缘层的情况下,只要附着的绝缘层不是极端的厚,就不会产生任何问题地动作,因此在成本、设置场所两方面上,对于生产现场的等离子体处理装置的适用性都非常优良。
下面,参照图8~图10,对具有所述的窗型探针的检测等离子体的变动及异常放电等的本发明的实施方式2的等离子体处理装置进行说明。
参照图8
图8是本发明的实施方式2的带异常放电监视装置的等离子体处理装置的概略构成图,由于虽然基本的构成与所述的实施方式1相同,但是,在检测系统中,取代数字示波器40,使用等离子体监视装置50,因此对相同部分的说明省略。
该等离子体监视装置50由窗型探针30、A/D转换部51、数据处理部52、滤波器处理部53、及异常放电检测部54等构成。
利用该数据处理部52,基于所述实施方式1中说明的检测波形,求出平均波形fav(t)、平均电压Vav(m)、平均振幅Vav(pp)及各系数α、m、p。
由于对于通常的过程监视,与所述实施方式1相同,因此这里参照图9及图10,对异常放电检测进行说明。
参照图9
图9是实施方式2的DC放电中的异常放电时的窗型探针的检测波形的说明图,计测等离子体生成用电源电压、电流的变动,与检测异常放电的弧监视器的检测波形一并表示。
上面中表示的弧监视器的电流检测波形与异常放电的发生对应地急剧增加。
另一方面,下面中表示的窗型探针的检测波形上升陡峭,瞬间向正侧变化,其后在从负向正变化的同时收敛,这被认为是因为,异常放电发生的瞬间变为瞬停状态,扩散的电子流急剧增加,因此瞬间壁电位与图6所示的电源切断时相反地向负侧变化后,因电源的控制而比较缓慢的变化,同时收敛。
该窗型探针的检测波形被理解为:与弧监视器相比,波形的上升更敏感地、灵敏度优良地响应。
参照图10
图10是实施方式2的RF放电中的异常放电时的窗型探针的检测波形的说明图,将检测RF等离子体中产生异常放电时的超声波的超声波传感器的检测波形一起表示。
而且,对于异常放电,本发明人提出有如下方案:当在等离子体处理中产生异常放电24时,由于放电而产生超声波(AE:Acoustic Emission),利用产生的AE沿等离子体处理装置的外壁传播,在等离子体处理装置的外壁上安装AE传感器而检测因异常放电产生的AE来指定放电产生位置(如果必要,参照特愿2000-89840号)。
上面中所示的窗型探针的检测波形,由于与图9所示的DC等离子体中的异常放电产生时相同,对异常放电24灵敏度优良地反应而向负侧产生急剧变化,因此壁电位向负侧发生变化,由此可以知道扩散电子量增加而等离子体达到瞬停状态。
而且,该窗型探针的检测波形是除去了RF成分的检测波形。
另一方面,下面中所示的超声波传感器的检测波形,由于异常放电的发生位置和超声波沿处理室11的壁面传送的传播速度的关系,而迟于上面的窗型探针的检测波形的变化,从而可以确认检测出与异常放电相伴产生的超声波。
下面,对检测异常放电的方法进行简单地说明。
窗型探针30的检测波形借助A/D转换部51被输入,将该波形数据在滤波器处理部53,利用低通滤波器去掉13.56MHz的RF成分,在异常放电检测部54中,检测出异常放电的信号。
然后,为了求得波形相对于检测信号的变化量,将检测波形f(t)微分,当将其值设为β时,则表示为
β=df(t)/dt
通过监视该β可以检测波形的变化状态。
如图10及图6所示,当异常放电或有电源的瞬停时,由于壁电位有急剧的变化,因此在异常放电检测部54中,β的值会向正或负变化。
所以,在f(t)的值超过某一阈值,β的值大于预先求出的设定值的情况下就会检测出异常,在该β值为负的情况下判断为异常放电,向等离子体处理装置发出警报。
另外,由于电子流的变化与异常放电的规模有关系,因此也可以从该波形的峰值V(pp)推定异常放电的规模。
下面,参照图11,对还一并使用确认异常放电的位置的机构的本发明的实施方式3进行说明。
参照图11
图11是本发明的实施方式3的带异常放电监视装置的等离子体处理装置的概略构成图,基本的装置构成与图8所示的实施方式2的等离子体处理装置相同。
该实施方式3中,是进一步将3个以上的AE传感器25~27安装在处理室11的外壁面上的方式,这样,就会指定发生了异常放电的位置(如果需要,参照特愿2001-370610号)。
而且,该情况下,表示有3个AE传感器。
参照图12
图12是本发明的实施方式3的异常放电检测方法的说明图,首先,利用上面所示的窗型探针30的检测波形,利用所述的方法判断异常放电的发生,在判断为发生了异常放电24的情况下,起动AE传感器25~27的异常放电24的发生位置的指定动作。
然后,根据来自3个AE传感器25~27的3个检测波形,求出由发生了异常放电24的场所和将各AE传感器25~27接地的场所的距离的差引起的延迟时间T0、T1、T2,根据该延迟时间T0、T1、T2,利用与地震的震源地的指定的原理相同的原理,指定异常放电24的发生位置。
该情况下,由于利用窗型探针30的检测波形进行异常放电的判定,因此就不会有因AE传感器检测出机械振动而错误地判断为异常放电的发生的情况。
另外,由于在基于窗型探针30的检测波形确认了异常放电的发生后,加入利用AE传感器的位置指定动作,因此就不会使AE传感器徒劳地工作。
下面,参照图13,对本发明的实施方式4的窗型探针进行说明。
参照图13
图13是本发明的实施方式4的窗型探针的概略构成图,该窗型探针与图3所示的窗型探针30基本构成相同,由通常的窥视孔中使用的光学上透明的科瓦铁镍钴合金玻璃等的玻璃板31、设于相对于该玻璃板31的处理室外侧的由ITO等制成的探针电极32、将探针电极32的表面绝缘覆盖的聚酯等透明绝缘膜33、将设于其上的探针电极32电磁屏蔽的ITO屏蔽片34及阻抗转换器35构成。
该实施方式4中,在比玻璃板31更靠近等离子体的发生区域处设置了光学上透明的防附着玻璃板36,这样,就可以防止构成窗型探针的玻璃板31的表面的污染的发生。
该情况下,为了防止探针电极32与探针安装部14电短路,也将周边部去掉,另外,在ITO屏蔽片34及透明绝缘膜33上设有微小开口部,穿过该微小开口部,探针电极32利用同轴电缆与阻抗转换器35连接。
另外,该探针安装部14与通常的窥视孔相同,形成利用O形圈及油脂等进行真空密闭的构成。
该情况下,由于也将窗型探针的窗部及防附着玻璃板36全都用透明构件构成,因此该窗型探针就具有如下的划时代的极大的优点,即,还可以作为等离子体处理装置的处理室的观察窗发挥作用。
即使发生因等离子体处理的反应生成物造成的污染,由于仅更换防附着玻璃板36即可,因此,对于窗型探针的玻璃板31侧,就不需要进行更换、清洗操作等。
但是,由于设置防附着玻璃板36,检测灵敏度略有降低。
以上虽然对本发明的各实施方式进行了说明,但是,本发明并不受各实施方式中所述的构成·条件限制,可以进行各种变更。
例如,在所述的各实施方式中,虽然作为等离子体处理装置以平行平板电极型的等离子体处理装置为例进行了说明,但是,等离子体处理装置的构成并不限定于此种平行平板电极型的等离子体处理装置,可以是适用于各种的构造的等离子体处理装置中的构成。
另外,所述的各实施方式中,虽然将窗型探针设置在处理室的外壁的一个位置上,但是也可以设置在处理室的外壁的多个位置上。
另外,所述的各实施方式中,虽然以在处理室上设置安装部而安装窗型探针为前提进行了说明,但是也可以安装在已经设置的等离子体处理装置上。
即,在作为对象的等离子体处理装置上已经有观察窗的情况下,可以通过在观察窗的玻璃的大气侧表面上,作为电极来贴附光学上透明的ITO薄片等导电性薄片,简单地构成窗型探针。
另外,虽然所述的实施方式中,测定探针电位的是可以测定高频电压的装置,例如,输入阻抗为50Ω的数字示波器,但是,并不限定于数字示波器,只要是取样示波器、频率分析仪等可以测定高频电压的装置就可以。
另外,所述的各实施方式中,虽然感应出窗型探针的壁电位的电介体是基板状的玻璃板,但是不需要一定是基板状的玻璃板。
另外,所述的各实施方式中,虽然在窗型探针中使用玻璃板作为感应壁电位的构件,但是不需要一定是玻璃板,只要是电介体即可,例如,也可以使用蓝宝石基板等其他的电介体基板。
另外,所述的各实施方式中,虽然为了使窗型探针还具有观察窗的功能而将整体用透明构件构成,但是不需要一定全都用透明构件构成,例如,也可以用Al或Au形成探针电极。
该情况下,通过将探针电极设为较小的圆盘状电极或圆环状的电极,就可以使之具有观察窗的功能。
另外,不一定必须使窗型探针具有观察窗的功能,该情况下,也可以将窗型探针的至少一部分用不透明构件形成。
另外,窗型探针的探针电极不需要一定是成面状扩展的电极,即使将线状的电极贴附在玻璃板上作为探针发挥作用也可以。
工业上的利用可能性
如上所述,利用本发明的窗型探针和等离子体监视装置,就可以利用壁电位的平均电位、电位的振动波形的测定来确认等离子体的状态变化,另外,异常放电等的检测也可以简单地进行,特别是,可以使窗型探针还作为观察窗发挥作用,从而可以自动地知道等离子体处理装置的状态,适于通过自动地停止或控制等离子体来防止做出不良品的等离子体处理装置。

Claims (10)

1.一种窗型探针,其特征是:至少具有在与等离子体相面对的面的至少一部分上设置了开口部的导电性支撑构件、设置于所述导电性支撑构件的开口部并在一侧表面上具有探针电极的电介体构件。
2.根据权利要求1所述的窗型探针,其特征是:在所述探针电极上连接了阻抗匹配机构。
3.根据权利要求1所述的窗型探针,其特征是:所述电介体构件由光学上透明的玻璃构成。
4.根据权利要求3所述的窗型探针,其特征是:所述探针电极由光学上透明的导电性物质构成。
5.根据权利要求1所述的窗型探针,其特征是:设于所述导电性支撑构件上的开口部具有观察窗的功能。
6.一种使用权利要求1所述的窗型探针的等离子体监视装置,其特征是:在所述窗型探针的输出端,具备计测电压波形的电压波形计测部。
7.根据权利要求6所述的等离子体监视装置,其特征是:具有检测出由所述电压波形计测部检测出的电压波形的周期性的波形变化的不一致量而检测出等离子体的稳定性的过程监视机构。
8.根据权利要求6所述的等离子体监视装置,其特征是:具有利用由所述电压波形计测部检测出的电压波形的变化来检测等离子体的异常放电的异常放电监视机构。
9.一种等离子体处理装置,其特征是:具有权利要求6所述的等离子体监视装置。
10.根据权利要求9所述的等离子体处理装置,其特征是:设置了所述开口部的导电性支撑构件是构成反应容器的观察窗的凸缘部,所述电介体构件是密闭凸缘部的透明玻璃板。
CNA038088932A 2002-04-24 2003-03-28 窗型探针、等离子体监视装置及等离子体处理装置 Pending CN1647252A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP122240/2002 2002-04-24
JP2002122240A JP3773189B2 (ja) 2002-04-24 2002-04-24 窓型プローブ、プラズマ監視装置、及び、プラズマ処理装置

Publications (1)

Publication Number Publication Date
CN1647252A true CN1647252A (zh) 2005-07-27

Family

ID=29267436

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA038088932A Pending CN1647252A (zh) 2002-04-24 2003-03-28 窗型探针、等离子体监视装置及等离子体处理装置

Country Status (7)

Country Link
US (1) US20050194094A1 (zh)
EP (1) EP1531490A4 (zh)
JP (1) JP3773189B2 (zh)
KR (1) KR100582013B1 (zh)
CN (1) CN1647252A (zh)
TW (1) TWI256278B (zh)
WO (1) WO2003092059A1 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102106193A (zh) * 2008-07-02 2011-06-22 松下电器产业株式会社 等离子处理设备及监视等离子处理设备中的放电状态的方法
CN102293064A (zh) * 2009-01-26 2011-12-21 松下电器产业株式会社 等离子体处理装置
CN102415221A (zh) * 2009-04-28 2012-04-11 朗姆研究公司 用于检测用于电流的柔性连接中断的配置及其方法
CN101785080B (zh) * 2007-08-21 2012-05-30 松下电器产业株式会社 等离子处理装置
CN102771194A (zh) * 2010-01-21 2012-11-07 克拉-坦科股份有限公司 用于等离子体腔的工艺条件感测设备
CN102856149A (zh) * 2011-06-27 2013-01-02 东京毅力科创株式会社 异常检测装置和异常检测方法
CN109427523A (zh) * 2017-09-05 2019-03-05 东京毅力科创株式会社 等离子体探测装置和等离子体处理装置
CN113161252A (zh) * 2020-01-22 2021-07-23 东京毅力科创株式会社 等离子体观测系统和等离子体观测方法

Families Citing this family (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7960670B2 (en) 2005-05-03 2011-06-14 Kla-Tencor Corporation Methods of and apparatuses for measuring electrical parameters of a plasma process
JP2005277397A (ja) * 2004-02-26 2005-10-06 Tokyo Electron Ltd プラズマ処理装置
US7292045B2 (en) * 2004-09-04 2007-11-06 Applied Materials, Inc. Detection and suppression of electrical arcing
JP4673601B2 (ja) * 2004-10-27 2011-04-20 東京エレクトロン株式会社 プラズマ処理装置
US7334477B1 (en) * 2004-12-22 2008-02-26 Lam Research Corporation Apparatus and methods for the detection of an arc in a plasma processing system
JP5094002B2 (ja) * 2005-09-06 2012-12-12 ルネサスエレクトロニクス株式会社 プラズマ処理装置およびその異常放電抑止方法
JP2008115460A (ja) * 2006-10-12 2008-05-22 Canon Inc 半導体素子の形成方法及び光起電力素子の形成方法
JP4997925B2 (ja) 2006-11-08 2012-08-15 日新電機株式会社 シリコンドット形成方法及び装置並びにシリコンドット及び絶縁膜付き基板の形成方法及び装置
JP5012318B2 (ja) * 2007-08-21 2012-08-29 パナソニック株式会社 プラズマ処理装置
JP4882917B2 (ja) * 2007-08-21 2012-02-22 パナソニック株式会社 プラズマ処理装置
JP4882916B2 (ja) * 2007-08-21 2012-02-22 パナソニック株式会社 プラズマ処理装置およびプラズマ処理装置におけるプラズマ放電状態監視方法
KR20100043143A (ko) * 2007-08-21 2010-04-28 파나소닉 주식회사 플라즈마 처리 장치 및 플라즈마 방전 상태 감시 장치
DE112008001663T5 (de) 2007-08-21 2010-07-22 Panasonic Corp., Kadoma Plasmaverarbeitungsvorrichtung und Verfahren zum Überwachen des Plasmaentladungszustands in einer Plasmaverarbeitungsvorrichtung
JP5012317B2 (ja) * 2007-08-21 2012-08-29 パナソニック株式会社 プラズマ放電状態監視装置
JP4983575B2 (ja) * 2007-11-30 2012-07-25 パナソニック株式会社 プラズマ処理装置およびプラズマ処理方法
US20090308734A1 (en) * 2008-06-17 2009-12-17 Schneider Automation Inc. Apparatus and Method for Wafer Level Arc Detection
CN102084471B (zh) * 2008-07-07 2012-11-28 朗姆研究公司 用于检测等离子体处理室中的等离子体不稳定的无源电容耦合静电(cce)探针装置
KR101606734B1 (ko) * 2008-07-07 2016-03-28 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 인시츄 아킹 이벤트들을 검출하기 위한 패시브 용량성-커플링된 정전식 (cce) 프로브 장치
JP5942213B2 (ja) * 2009-01-26 2016-06-29 パナソニックIpマネジメント株式会社 プラズマ処理装置
JP5353265B2 (ja) * 2009-01-26 2013-11-27 パナソニック株式会社 プラズマ処理装置
JP5136514B2 (ja) * 2009-05-26 2013-02-06 パナソニック株式会社 プラズマ処理装置およびプラズマ処理方法
KR101042985B1 (ko) * 2009-10-15 2011-06-21 주식회사 포스코아이씨티 전압 기준값 변동을 이용한 스파크 제어 장치 및 방법
KR101105802B1 (ko) * 2009-11-30 2012-01-17 주식회사 포스코아이씨티 가변 기울기를 이용한 스파크 제한 제어 장치 및 방법
KR101105803B1 (ko) * 2009-11-30 2012-01-17 주식회사 포스코아이씨티 스파크 제한 제어 장치 및 방법
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
KR20140137172A (ko) * 2013-05-22 2014-12-02 최대규 자기 관리 기능을 갖는 원격 플라즈마 시스템 및 이의 자기 관리 방법
DE102013110722A1 (de) * 2013-09-27 2015-04-02 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Plasma-ionengestütztes Beschichtungsverfahren und Plasmasonde
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102417178B1 (ko) * 2015-09-03 2022-07-05 삼성전자주식회사 마이크로파 탐침, 그 탐침을 구비한 플라즈마 모니터링 시스템, 및 그 시스템을 이용한 반도체 소자 제조방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10748797B2 (en) 2017-01-18 2020-08-18 Applied Materials, Inc. Plasma parameters and skew characterization by high speed imaging
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP7026578B2 (ja) * 2017-09-05 2022-02-28 東京エレクトロン株式会社 プラズマプローブ装置及びプラズマ処理装置
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7175238B2 (ja) * 2019-05-13 2022-11-18 東京エレクトロン株式会社 電界センサ、表面波プラズマ源、および表面波プラズマ処理装置
JP7440746B2 (ja) * 2020-01-15 2024-02-29 日新電機株式会社 プラズマ源及びプラズマ処理装置
JPWO2023033067A1 (zh) 2021-08-31 2023-03-09
KR102570106B1 (ko) * 2021-09-17 2023-08-24 주식회사 플라즈맵 플라즈마 표면 처리를 위한 장치
WO2023043235A1 (ko) * 2021-09-17 2023-03-23 주식회사 플라즈맵 플라즈마 표면 처리를 위한 장치
KR102673673B1 (ko) * 2021-12-09 2024-06-07 한국핵융합에너지연구원 Rf 신호를 이용한 플라즈마 상태 감지 장치 및 이를 이용한 플라즈마 상태 감지 방법

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5173443A (en) * 1987-02-13 1992-12-22 Northrop Corporation Method of manufacture of optically transparent electrically conductive semiconductor windows
JP2859308B2 (ja) * 1989-08-02 1999-02-17 三井化学株式会社 プラズマパラメーターの測定方法
JPH03185825A (ja) * 1989-12-15 1991-08-13 Hitachi Ltd 半導体ウェハ処理装置
US5339039A (en) * 1992-09-29 1994-08-16 Arizona Board Of Regents On Behalf Of The University Of Arizona Langmuir probe system for radio frequency excited plasma processing system
JP3045444B2 (ja) * 1993-10-20 2000-05-29 東京エレクトロン株式会社 プラズマ処理装置およびその制御方法
US5576629A (en) * 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
FR2738984B1 (fr) * 1995-09-19 1997-11-21 Centre Nat Rech Scient Procede et dispositif de mesure d'un flux d'ions dans un plasma
JPH09139377A (ja) * 1995-11-13 1997-05-27 Fujitsu Ltd ドライエッチングの終点検出方法及び装置
US5942889A (en) * 1997-06-20 1999-08-24 Applied Materials, Inc. Capacitive probe for in situ measurement of wafer DC bias voltage
US6356097B1 (en) * 1997-06-20 2002-03-12 Applied Materials, Inc. Capacitive probe for in situ measurement of wafer DC bias voltage
JP3497091B2 (ja) * 1998-07-23 2004-02-16 名古屋大学長 プラズマ生成用高周波パワーの制御方法、およびプラズマ発生装置
JP4162773B2 (ja) * 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
JP4619468B2 (ja) * 1999-03-25 2011-01-26 株式会社東芝 プラズマ処理方法、プラズマ処理装置およびプラズマモニタリング装置
US6409896B2 (en) * 1999-12-01 2002-06-25 Applied Materials, Inc. Method and apparatus for semiconductor wafer process monitoring
JP2001203097A (ja) * 2000-01-17 2001-07-27 Canon Inc プラズマ密度計測装置および方法並びにこれを利用したプラズマ処理装置および方法
JP4633881B2 (ja) * 2000-02-21 2011-02-16 株式会社日立製作所 プラズマ処理装置及びそれを用いた処理方法
JP3631212B2 (ja) * 2002-02-14 2005-03-23 ファブソリューション株式会社 異常放電検出装置及び方法

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101785080B (zh) * 2007-08-21 2012-05-30 松下电器产业株式会社 等离子处理装置
CN102106193A (zh) * 2008-07-02 2011-06-22 松下电器产业株式会社 等离子处理设备及监视等离子处理设备中的放电状态的方法
CN102106193B (zh) * 2008-07-02 2013-10-09 松下电器产业株式会社 等离子处理设备及监视等离子处理设备中的放电状态的方法
CN102293064B (zh) * 2009-01-26 2014-06-11 松下电器产业株式会社 等离子体处理装置
CN102293064A (zh) * 2009-01-26 2011-12-21 松下电器产业株式会社 等离子体处理装置
CN102415221A (zh) * 2009-04-28 2012-04-11 朗姆研究公司 用于检测用于电流的柔性连接中断的配置及其方法
CN102415221B (zh) * 2009-04-28 2014-11-19 朗姆研究公司 用于检测用于电流的柔性连接中断的配置及其方法
US8889021B2 (en) 2010-01-21 2014-11-18 Kla-Tencor Corporation Process condition sensing device and method for plasma chamber
CN102771194A (zh) * 2010-01-21 2012-11-07 克拉-坦科股份有限公司 用于等离子体腔的工艺条件感测设备
CN102771194B (zh) * 2010-01-21 2015-12-02 克拉-坦科股份有限公司 用于等离子体腔的工艺条件感测设备
US10777393B2 (en) 2010-01-21 2020-09-15 Kla-Tencor Corporation Process condition sensing device and method for plasma chamber
CN102856149A (zh) * 2011-06-27 2013-01-02 东京毅力科创株式会社 异常检测装置和异常检测方法
CN109427523A (zh) * 2017-09-05 2019-03-05 东京毅力科创株式会社 等离子体探测装置和等离子体处理装置
CN109427523B (zh) * 2017-09-05 2021-11-26 东京毅力科创株式会社 等离子体探测装置和等离子体处理装置
CN113161252A (zh) * 2020-01-22 2021-07-23 东京毅力科创株式会社 等离子体观测系统和等离子体观测方法
CN113161252B (zh) * 2020-01-22 2024-01-05 东京毅力科创株式会社 等离子体观测系统和等离子体观测方法

Also Published As

Publication number Publication date
KR100582013B1 (ko) 2006-05-22
TW200306136A (en) 2003-11-01
EP1531490A1 (en) 2005-05-18
KR20040108751A (ko) 2004-12-24
US20050194094A1 (en) 2005-09-08
EP1531490A4 (en) 2009-07-15
WO2003092059A1 (fr) 2003-11-06
JP2003318115A (ja) 2003-11-07
TWI256278B (en) 2006-06-01
JP3773189B2 (ja) 2006-05-10

Similar Documents

Publication Publication Date Title
CN1647252A (zh) 窗型探针、等离子体监视装置及等离子体处理装置
CN1743859A (zh) 电弧的检测与抑制电路和方法
CN1282692C (zh) 电介质涂覆的电极、等离子体放电处理装置和形成薄膜法
CN1124365C (zh) 镀膜形成方法和镀膜形成装置
TWI458396B (zh) A plasma monitoring method, a plasma monitoring device, and a plasma processing device
CN1132964A (zh) 声表面波组件及其制造方法
US20060100824A1 (en) Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
US6866744B2 (en) Semiconductor processing apparatus and a diagnosis method therefor
CN1717788A (zh) 等离子体处理装置和方法
CN1454391A (zh) 消耗品的消耗程度预测方法、沉积膜厚度预测方法及等离子体处理装置
CN1132233C (zh) 等离子体处理方法及设备
CN1717790A (zh) 等离子体处理方法和装置
CN1591793A (zh) 聚焦环和等离子体处理装置
CN1717789A (zh) 等离子体处理装置和方法以及等离子体处理装置的电极板
CN1437223A (zh) 等离子体处理方法及装置
CN1764332A (zh) 高频加热装置、半导体制造装置以及光源装置
CN1741241A (zh) 图像显示装置
CN1542940A (zh) 具有半导体晶片载置台的半导体处理装置
CN1379429A (zh) 制作图像形成装置的方法
CN1132962C (zh) 淀积膜形成系统和方法
CN1771612A (zh) 压电元件及其制造方法、以及触摸面板装置
CN1292454C (zh) 等离子体处理方法以及设备
CN1230042C (zh) 感应耦合等离子体腐蚀装置
CN1606145A (zh) 防止微粒附着装置和等离子体处理装置
CN1230877C (zh) 等离子体装置及其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication