CN1311530A - 用于改善后端生产线结构稳定性的混合介质结构 - Google Patents

用于改善后端生产线结构稳定性的混合介质结构 Download PDF

Info

Publication number
CN1311530A
CN1311530A CN01108312A CN01108312A CN1311530A CN 1311530 A CN1311530 A CN 1311530A CN 01108312 A CN01108312 A CN 01108312A CN 01108312 A CN01108312 A CN 01108312A CN 1311530 A CN1311530 A CN 1311530A
Authority
CN
China
Prior art keywords
dielectric
layer
multilayer
dielectric material
interconnect structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN01108312A
Other languages
English (en)
Other versions
CN1176491C (zh
Inventor
查尔斯·R·戴维斯
丹尼尔·C·埃德尔斯坦
约翰·C·海
杰弗里·C·赫德里克
克里斯托弗·詹尼斯
文森特·迈克海
亨利·A·奈三世
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1311530A publication Critical patent/CN1311530A/zh
Application granted granted Critical
Publication of CN1176491C publication Critical patent/CN1176491C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

集成电路芯片上的一种多层共面铜镶嵌互连结构,它包括集成电路衬底上的具有被介电常数较低且弹性模量也较低的介电材料分隔的多个线路导体的第一平面互连层。第一平面互连层上的第二平面互连层包含弹性模量比第一平面互连层更高的介电膜和穿过其中的导电通孔。通孔选择性地接触到线路导体。第二平面互连层上的第三平面互连层具有被介电材料分隔并选择性地接触到通孔的多个线路导体。

Description

用来改善后端生产线结构 稳定性的混合介质结构
本发明涉及到集成电路上的多层共面互连结构,更确切地说是涉及到用来改善后端生产线结构稳定性的混合介质结构。
集成电路密度的不断改进部分地是由互连技术的进步造成的。IC制造通常包括前部生产线(FEOL)工艺和后部生产线(BEOL)工艺。FEOL工艺涉及到与多晶硅栅层一起制作晶体管和电容器等。BEOL工艺包括制作金属互连和相关的介质的工艺。常规的互连结构采用铝作为导体。铝被淀积成薄膜,然后被图形化以形成互连。再加入介电绝缘材料,并对其进行平面化。
近来已经开发了使用铜互连的工艺。一种这样的工艺采用镶嵌铜电镀来进行芯片互连。此工艺一开始制作平面绝缘层。对此绝缘层进行腐蚀以形成沟槽或通孔,然后用金属填充沟槽或通孔,并抛光以便整平。在双重镶嵌工艺中,二种图形被组合成一个。
从铝互连过渡到铜互连,已经导致了互连电阻降低。为了降低RC噪声,目前将具有低介电常数(也称为“低k”)的介电材料集成到BEOL结构中。然而,低k介电材料本质上通常不是结构性的。它们无法支持金属丝键合或球键合过程中遇到的外加负载而不对器件的电学可靠性造成有害的影响。但随着工业界将具有高弹性模量的石英改变为用作电介质的低模量材料,这些改变是必需要着手考虑的。
本发明的目的是以新颖而简单的方法来克服上述的一个或多个问题。
根据本发明,采用低k介电材料的混合介电互连结构,在最容易出现噪声的线路层中使用低模量介电材料,而在通孔层中使用性质上是结构性的较高弹性模量的介电材料。
广义地说,此处公开了集成电路芯片上的一种多层共面互连结构,它包括具有被介电常数较低且弹性模量也较低的介电材料分隔的多个互连导体的平面线路层。平面通孔层包含弹性模量比线路层更高的介电膜和穿过其中的导电通孔。线路层和通孔层之一位于集成电路衬底上并确定第一层,而线路层和通孔层中的另一个位于第一层上,使通孔选择性地接触到线路层导体。
本发明的一个特点是介电膜具有较低的介电常数。
本发明的另一特点是介电材料具有小于大约3.0的介电常数。
本发明的另一特点是介电材料包含聚亚芳基醚材料。
本发明的又一特点是介电材料包含有机或无机材料。介电膜包含无机薄膜。
本发明的再一特点是介电膜包含SiCOH膜。
根据本发明的另一种情况,公开了集成电路芯片上的一种多层共面铜镶嵌互连结构,它包括集成电路衬底上的具有被介电常数较低且弹性模量也较低的介电材料分隔的多个线路导体的第一平面互连层。第一平面互连层上的第二平面互连层包含弹性模量比第一平面互连层更高的介电膜和穿过其中的导电通孔。通孔选择性地接触到线路导体。第二平面互连层上的第三平面互连层具有被介电材料分隔并选择性地接触到通孔的多个线路导体。
根据本发明的另一种情况,公开了集成电路芯片上的一种多层共面互连结构,它包括具有被介电常数较低的有机或无机介电材料分隔的多个互连导体的平面线路层。平面通孔层包含介电常数较低的无机介电膜和穿过其中的导电通孔。线路层和通孔层之一位于集成电路衬底上并确定第一层,而线路层和通孔层中的另一个位于第一层上,使通孔选择性地接触到线路层导体。
从说明书和附图可以容易地明白本发明的进一步特点和优点。
图1剖面图示出了用于集成电路芯片上的根据本发明的多层共面互连结构;而
图2-4示出了制造图1的互连结构的工艺。
由于铜互连的形状比随下一代器件而增大,故线路之间的交叉串扰最容易出现在同一个线路层上的各个线路之间,而比较不容易出现在不同线路层即跨越通孔层的电容上的各个线路之间。根据本发明,具有低k介电常数的介电材料分隔了给定线路层上的各个线路。在铜密度不重要的通孔层处,利用模量比较高的介电材料提高了通孔层处的稳定性。
一开始参照图1,示出了集成电路芯片10,它具有根据本发明的多层共面互连结构12。此互连结构包括衬底16上的第一平面层14。第二平面层18位于第一平面层14上。最后,第三平面层20位于第二平面层18上。
衬底16包含具有下方电子器件的硅集成电路。第一平面层14包含线路层。第二平面层18包含通孔层。第三平面层20包含线路层。
线路层14和20具有多个被介电材料24分隔的互连导体22。通孔层18包含具有多个穿过其中的导电通孔28的介电膜26。通孔28选择性地与某些导体22接触。显然,特定的连接根据需要由集成电路芯片10的设计来确定。
根据本发明,线路层14和20中的介电材料24包含低k和低弹性模量的电介质。通孔层18中的介电膜26具有中等程度的低k介电常数和更高的弹性模量。用于集成电路的常规介电材料是二氧化硅,其介电常数k=4.0,而弹性模量约为75GPa。在本发明的示例性实施例中,线路层14和20处的介电常数低于4.0,最好是低于3.0,而弹性模量小于20GPa。在本发明的示例性实施例中,介电材料24可以包含从Dow Chemical Company得到的SiLK半导体介电树脂。SiLK是一种旋涂在有机材料上固化形成绝缘膜的聚亚芳基醚。作为变通,介电材料24可以是无机材料。SiLK具有k=2.65的介电常数。介电膜26可以包含例如诸如介电常数为k=2.7的SiCOH之类的无机薄膜。根据本发明,包括SiO2的介电常数更高的材料能够被用于通孔层18中的膜26。但k更高的材料不被用于线路层14或20。
参照图2-4,示出了制作互连结构12的工艺。图2示出了衬底16,它包括其上含有线路层的第一层14。层14包括一个铜互连导体22和介电材料24。在本发明的示例性实施例中,如上所述,介电材料24包含旋涂并固化形成绝缘膜的SiLK。然后用常规铜镶嵌工艺制作互连线路导体22。势垒层30覆盖着第一层14。然后用等离子体增强化学汽相淀积(PECVD)SiCOH的方法,在第一层14上淀积无机介电薄膜26。再用常规的图形化和腐蚀方法制作通孔窗口32。
参照图3,SiLK介电材料24被旋涂并固化。如所示,可以在通孔窗口32中制作空腔34。在SiLK24的顶部制作硬掩模覆盖层36,然后根据待要制作的导体线路图形,对光刻胶层38进行图形化。参照图4,进行SiLK腐蚀,以清除未图形化的区域中的SiLK介电材料24。一种不同的气体被用来清除通孔窗口32下方的势垒层30。然后可以用双重镶嵌工艺,对通孔层18处的导电通孔28和第三平面层20中的线路导体22进行电镀。
显然,互连结构12能够使用额外的线路层和/或通孔层。同样,根据芯片的需要,第一层可以是通孔层,而第二层可以是线路层,等等。
于是,本发明的特别目的是使用具有铜镶嵌互连的双重低介电常数材料。各个电介质被用于淀积在平面表面上的平面膜中。平面层上互连之间的各个间隙,不管间距如何,都用相同的低k材料填充。不同电介质之间的所有界面都是纯水平的。根据本发明,k更高的材料可以用于通孔层处,但不用于互连导体之间。

Claims (18)

1.集成电路芯片上的一种多层共面互连结构,它包含:
具有被介电常数较低且弹性模量也较低的介电材料分隔的多个互连导体的平面线路层;以及
包含弹性模量比线路层更高的介电膜和穿过其中的导电通孔的平面通孔层,其中
线路层和通孔层之一位于集成电路衬底上并确定第一层,而线路层和通孔层中的另一个位于第一层上,使通孔选择性地接触到线路层导体。
2.权利要求1的多层共面互连结构,其中的介电膜具有较低的介电常数。
3.权利要求1的多层共面互连结构,其中的介电材料的介电常数小于大约3.0。
4.权利要求1的多层共面互连结构,其中的介电材料包含聚亚芳基醚材料。
5.权利要求1的多层共面互连结构,其中的介电材料包含有机材料。
6.权利要求1的多层共面互连结构,其中的介电膜包含无机薄膜。
7.权利要求1的多层共面互连结构,其中的介电膜包含SiCOH膜。
8.集成电路芯片上的一种多层共面铜镶嵌互连结构,它包含:
集成电路衬底上的具有被介电常数较低且弹性模量也较低的介电材料分隔的多个线路导体的第一平面互连层;
第一平面互连层上的包含弹性模量比第一平面互连层更高的介电膜和穿过其中的导电通孔的第二平面互连层,通孔选择性地接触到线路导体;以及
第二平面互连层上的具有被介电材料分隔并选择性地接触到通孔的多个线路导体的第三平面互连层。
9.权利要求8的多层共面镶嵌互连结构,其中的介电膜具有较低的介电常数。
10.权利要求8的多层共面镶嵌互连结构,其中的介电材料的介电常数小于大约3.0。
11.权利要求8的多层共面镶嵌互连结构,其中的介电材料包含聚亚芳基醚材料。
12.权利要求8的多层共面镶嵌互连结构,其中的介电材料包含有机材料。
13.权利要求8的多层共面镶嵌互连结构,其中的介电膜包含无机薄膜。
14.权利要求8的多层共面镶嵌互连结构,其中的介电膜包含SiCOH膜。
15.集成电路芯片上的一种多层共面互连结构,它包含:
具有被介电常数较低的介电材料分隔的多个互连导体的平面线路层;以及
包含介电常数较低的无机介电膜和穿过其中的导电通孔的平面通孔层,其中
线路层和通孔层之一位于集成电路衬底上并确定第一层,而线路层和通孔层中的另一个位于第一层上,使通孔选择性地接触到线路层导体。
16.权利要求15的多层共面互连结构,其中的介电材料具有比介电膜更低的弹性模量。
17.权利要求15的多层共面互连结构,其中的介电材料和介电膜的介电常数均小于大约3.0。
18.权利要求16的多层共面互连结构,其中的介电材料的弹性模量小于20GPa。
CNB011083123A 2000-02-29 2001-02-27 用来改善后端生产线结构稳定性的混合介质结构 Expired - Lifetime CN1176491C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/515,110 US6486557B1 (en) 2000-02-29 2000-02-29 Hybrid dielectric structure for improving the stiffness of back end of the line structures
US09/515,110 2000-02-29

Publications (2)

Publication Number Publication Date
CN1311530A true CN1311530A (zh) 2001-09-05
CN1176491C CN1176491C (zh) 2004-11-17

Family

ID=24050008

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB011083123A Expired - Lifetime CN1176491C (zh) 2000-02-29 2001-02-27 用来改善后端生产线结构稳定性的混合介质结构

Country Status (7)

Country Link
US (1) US6486557B1 (zh)
JP (1) JP2001284454A (zh)
KR (1) KR100388830B1 (zh)
CN (1) CN1176491C (zh)
DE (1) DE10106161A1 (zh)
SG (1) SG101957A1 (zh)
TW (1) TW477028B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7202565B2 (en) 2003-09-08 2007-04-10 Renesas Technology Corp. Semiconductor device which employs an interlayer insulating film of a low mechanical strength and a highly reliable metal pad, and a method of manufacturing the same
CN100375248C (zh) * 2003-12-31 2008-03-12 台湾积体电路制造股份有限公司 异质低介电常数质材与其形成方法

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050269666A1 (en) * 2004-06-07 2005-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuses as programmable data storage
US6737727B2 (en) * 2001-01-12 2004-05-18 International Business Machines Corporation Electronic structures with reduced capacitance
US7425346B2 (en) * 2001-02-26 2008-09-16 Dielectric Systems, Inc. Method for making hybrid dielectric film
US6642139B1 (en) * 2002-06-28 2003-11-04 Macronix International Co., Ltd. Method for forming interconnection structure in an integration circuit
US6653223B1 (en) * 2002-07-09 2003-11-25 Taiwan Semiconductor Manufacturing Co., Ltd Dual damascene method employing void forming via filling dielectric layer
DE10249192A1 (de) 2002-10-22 2004-05-13 Infineon Technologies Ag Elektronisches Bauelement mit integriertem passiven elektronischen Bauelement und Verfahren zu dessen Herstellung
US6917108B2 (en) * 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric
US7265448B2 (en) * 2004-01-26 2007-09-04 Marvell World Trade Ltd. Interconnect structure for power transistors
US7960833B2 (en) * 2003-10-22 2011-06-14 Marvell World Trade Ltd. Integrated circuits and interconnect structure for integrated circuits
US7851872B2 (en) * 2003-10-22 2010-12-14 Marvell World Trade Ltd. Efficient transistor structure
JP2005136215A (ja) * 2003-10-30 2005-05-26 Toshiba Corp 半導体装置
JP2005235978A (ja) * 2004-02-19 2005-09-02 Sony Corp 半導体装置および半導体装置の製造方法
US20050285222A1 (en) 2004-06-29 2005-12-29 Kong-Beng Thei New fuse structure
JP5157445B2 (ja) * 2005-08-17 2013-03-06 富士通株式会社 半導体装置及びその製造方法
JP4521349B2 (ja) * 2005-10-13 2010-08-11 富士通セミコンダクター株式会社 半導体集積回路装置
US20070187828A1 (en) * 2006-02-14 2007-08-16 International Business Machines Corporation Ild layer with intermediate dielectric constant material immediately below silicon dioxide based ild layer
US7425910B1 (en) 2006-02-27 2008-09-16 Marvell International Ltd. Transmitter digital-to-analog converter with noise shaping
KR101416317B1 (ko) * 2008-03-05 2014-07-08 삼성전자주식회사 반도체 소자의 배선층 형성 방법
US8836127B2 (en) * 2009-11-19 2014-09-16 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect with flexible dielectric layer
US10534888B2 (en) 2018-01-03 2020-01-14 International Business Machines Corporation Hybrid back end of line metallization to balance performance and reliability

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4789648A (en) 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US5023205A (en) * 1989-04-27 1991-06-11 Polycon Method of fabricating hybrid circuit structures
US5486493A (en) * 1994-02-25 1996-01-23 Jeng; Shin-Puu Planarized multi-level interconnect scheme with embedded low-dielectric constant insulators
US5776834A (en) 1995-06-07 1998-07-07 Advanced Micro Devices, Inc. Bias plasma deposition for selective low dielectric insulation
US5691573A (en) 1995-06-07 1997-11-25 Advanced Micro Devices, Inc. Composite insulation with a dielectric constant of less than 3 in a narrow space separating conductive lines
US5821621A (en) 1995-10-12 1998-10-13 Texas Instruments Incorporated Low capacitance interconnect structure for integrated circuits
US5942328A (en) * 1996-02-29 1999-08-24 International Business Machines Corporation Low dielectric constant amorphous fluorinated carbon and method of preparation
US5965679A (en) * 1996-09-10 1999-10-12 The Dow Chemical Company Polyphenylene oligomers and polymers
US5744865A (en) * 1996-10-22 1998-04-28 Texas Instruments Incorporated Highly thermally conductive interconnect structure for intergrated circuits
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6093636A (en) * 1998-07-08 2000-07-25 International Business Machines Corporation Process for manufacture of integrated circuit device using a matrix comprising porous high temperature thermosets
JP3727818B2 (ja) * 1999-03-19 2005-12-21 株式会社東芝 半導体装置の配線構造及びその形成方法
US6107357A (en) * 1999-11-16 2000-08-22 International Business Machines Corporatrion Dielectric compositions and method for their manufacture
JP4658280B2 (ja) * 1999-11-29 2011-03-23 太平洋セメント株式会社 積層型圧電アクチュエータ

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7202565B2 (en) 2003-09-08 2007-04-10 Renesas Technology Corp. Semiconductor device which employs an interlayer insulating film of a low mechanical strength and a highly reliable metal pad, and a method of manufacturing the same
CN100394561C (zh) * 2003-09-08 2008-06-11 株式会社瑞萨科技 半导体器件及其制造方法
CN100375248C (zh) * 2003-12-31 2008-03-12 台湾积体电路制造股份有限公司 异质低介电常数质材与其形成方法

Also Published As

Publication number Publication date
JP2001284454A (ja) 2001-10-12
KR20010085379A (ko) 2001-09-07
TW477028B (en) 2002-02-21
DE10106161A1 (de) 2001-09-13
CN1176491C (zh) 2004-11-17
SG101957A1 (en) 2004-02-27
US6486557B1 (en) 2002-11-26
KR100388830B1 (ko) 2003-06-25

Similar Documents

Publication Publication Date Title
CN1176491C (zh) 用来改善后端生产线结构稳定性的混合介质结构
US7419900B2 (en) Post passivation interconnection schemes on top of the IC chips
CN100576494C (zh) 利用保护性通路盖层形成半导体器件的双镶嵌布线的方法
CN1297000C (zh) 包含应力调节覆盖层的互连结构及其制造方法
US8432040B2 (en) Interconnection structure design for low RC delay and leakage
CN100403517C (zh) 双镶嵌结构、内连结构及其制造方法
JP2004282000A (ja) 半導体装置
CN1324678C (zh) 半导体组件内层介电层与半导体组件及其制造方法
CN102201391A (zh) 半导体器件及其制造方法
CN104112734A (zh) 双嵌套铜互连结构及其制作方法
US7060193B2 (en) Method to form both high and low-k materials over the same dielectric region, and their application in mixed mode circuits
CN1622323A (zh) 半导体器件的局部互锁金属接触结构及其制造方法
WO2005024935A1 (ja) 半導体装置
KR100714026B1 (ko) 반도체 소자의 금속 배선 형성 방법
Mavoori Copper/low-k interconnects for smaller and faster circuits
KR20080019775A (ko) 반도체 제조 공정에서의 듀얼 다마신 패턴 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20041117