CN1271871A - 镶嵌腐蚀方法中各向异性氮化物的腐蚀工艺 - Google Patents

镶嵌腐蚀方法中各向异性氮化物的腐蚀工艺 Download PDF

Info

Publication number
CN1271871A
CN1271871A CN00106557A CN00106557A CN1271871A CN 1271871 A CN1271871 A CN 1271871A CN 00106557 A CN00106557 A CN 00106557A CN 00106557 A CN00106557 A CN 00106557A CN 1271871 A CN1271871 A CN 1271871A
Authority
CN
China
Prior art keywords
technology
oxygenant
layer
rare gas
component
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN00106557A
Other languages
English (en)
Other versions
CN1273866C (zh
Inventor
黛安娜·C·博伊德
斯图尔特·M·伯恩斯
赫赛恩·I·汉纳菲
沃尔德玛·W·科肯
威廉·C·威利
理查德·怀斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1271871A publication Critical patent/CN1271871A/zh
Application granted granted Critical
Publication of CN1273866C publication Critical patent/CN1273866C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts
    • EFIXED CONSTRUCTIONS
    • E05LOCKS; KEYS; WINDOW OR DOOR FITTINGS; SAFES
    • E05DHINGES OR SUSPENSION DEVICES FOR DOORS, WINDOWS OR WINGS
    • E05D15/00Suspension arrangements for wings
    • E05D15/06Suspension arrangements for wings for wings sliding horizontally more or less in their own plane
    • E05D15/0621Details, e.g. suspension or supporting guides
    • E05D15/0626Details, e.g. suspension or supporting guides for wings suspended at the top
    • E05D15/063Details, e.g. suspension or supporting guides for wings suspended at the top on wheels with fixed axis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • EFIXED CONSTRUCTIONS
    • E05LOCKS; KEYS; WINDOW OR DOOR FITTINGS; SAFES
    • E05YINDEXING SCHEME ASSOCIATED WITH SUBCLASSES E05D AND E05F, RELATING TO CONSTRUCTION ELEMENTS, ELECTRIC CONTROL, POWER SUPPLY, POWER SIGNAL OR TRANSMISSION, USER INTERFACES, MOUNTING OR COUPLING, DETAILS, ACCESSORIES, AUXILIARY OPERATIONS NOT OTHERWISE PROVIDED FOR, APPLICATION THEREOF
    • E05Y2201/00Constructional elements; Accessories therefor
    • E05Y2201/60Suspension or transmission members; Accessories therefor
    • E05Y2201/622Suspension or transmission members elements
    • E05Y2201/688Rollers
    • EFIXED CONSTRUCTIONS
    • E05LOCKS; KEYS; WINDOW OR DOOR FITTINGS; SAFES
    • E05YINDEXING SCHEME ASSOCIATED WITH SUBCLASSES E05D AND E05F, RELATING TO CONSTRUCTION ELEMENTS, ELECTRIC CONTROL, POWER SUPPLY, POWER SIGNAL OR TRANSMISSION, USER INTERFACES, MOUNTING OR COUPLING, DETAILS, ACCESSORIES, AUXILIARY OPERATIONS NOT OTHERWISE PROVIDED FOR, APPLICATION THEREOF
    • E05Y2600/00Mounting or coupling arrangements for elements provided for in this subclass
    • E05Y2600/60Mounting or coupling members; Accessories therefor

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

一种在多层结构的氮化硅层中各向异性地腐蚀沟槽的工艺和腐蚀剂气体组分。此腐蚀剂气体组分具有包括聚合剂、氢源、氧化剂和稀有气体稀释剂的腐蚀气体。氧化剂最好包括含碳的氧化剂组分和氧化剂-稀有气体组分。控制等离子体的方向性的电源与用来激活腐蚀剂气体的电源隔离。在制造金属氧化物半导体场效应晶体管的工艺中的氮化物腐蚀步骤中,可以使用此腐蚀剂气体。

Description

镶嵌腐蚀方法中各向异性氮化物的腐蚀工艺
本发明涉及到在制作于半导体晶片上或其它多层结构上的氮化硅层中进行线条图形化。
在半导体制造中,常常希望对具有高形状比部件(例如4∶1或更大)的厚的介质膜进行各向异性腐蚀而不过量地同时侵蚀光刻胶,并希望具有相对于氧化层(例如氧化硅)的高的选择性。在许多应用中,图形化的氮化物的分布必须是垂直的。目前最先进的氮化物腐蚀工艺达不到足够垂直的腐蚀分布或相对于氧化物具有足够选择性的腐蚀过程。
作为这种应用的一个例子,用镶嵌腐蚀工艺制作器件栅时,要求将氮化物材料垂直地腐蚀到氮化物下方的薄的热氧化物材料。热氧化物材料的顶层被清除,并在其位置生长更薄的栅氧化物。停止层的厚度受制于将其清除而不明显地下切的能力,而生长的栅氧化物的厚度决定于器件的性能特性。然后淀积栅导体并向下抛光到氮化物。
在标准的栅腐蚀工艺中,为了确保对热氧化物材料的薄的停止层的恰当的和可控的选择性,需要硬掩模。在适当的地方具有光刻胶的硬掩模的图形化,是嵌套线条和隔离线条变化的主要原因。更确切地说,图1A和1B示出了多层结构10的标准(亦即非镶嵌)栅腐蚀工艺。结构10包括导电栅叠层12、氮化硅硬掩模14、光刻胶层的嵌套线条16a、以及光刻胶层的隔离线条16b。在氮化硅硬掩模层14的顶部淀积光刻胶层之后,对硬掩模层进行腐蚀,以便在硬掩模层中形成对应于光刻胶层图形的图形。随后剥离光刻胶,留下图1B所示的图形化的氮化硅硬掩模层14。如从图1B可见,隔离线条16b下方的部分氮化硅硬掩模层14在掩模腐蚀工艺中被横向侵蚀,且氮化硅硬掩模层在整个芯片上表现出明显的宽度变化(ACLV)。
嵌套线和隔离线局部区域中的光刻胶加载的差异,是引起嵌套-隔离腐蚀偏离的主要原因。例如,隔离线16b附近几乎100%的区域是敞开的(亦即无光刻胶);相反,嵌套线16a附近只有大约50%的区域是敞开的。等离子体化学被选择与氮化硅反应,因而,在隔离线16b附近,由于氮化硅的局部加载比较高,故腐蚀剂气体中的反应粒子(例如离子、原子团和聚合物产物母体)的局部浓度将被耗尽。隔离线16b周围的大面积氮化物起反应粒子吸收点的作用。
同样,嵌套线16a附近较多的光刻胶的局部存在,倾向于使等离子体化学相对于隔离线16b附近的无光刻胶区域移动。具体地说,光刻胶的侵蚀起聚合物产物母体源的作用。因此,隔离线16b附近的硬掩模层14区域被暴露于比嵌套线16a附近更弱的聚合化学过程,从而引起图1B所示的隔离线下方的被腐蚀的硬掩模中的横向侵蚀。
在图1A和1B所示的标准的硬掩模栅腐蚀方法中,通常在氯基或溴基腐蚀剂气体中,相对于热氧化物(未示出,但在栅叠层12上形成薄层),硅被选择性地腐蚀。由于栅导体腐蚀对衬底(亦即栅叠层12)是非选择性的,故热氧化物的任何击穿都导致对下方硅的灾难性冲击。当为了提高器件速度而减小栅氧化物厚度时,这一危险变得特别重要。随着栅线宽度的减小,栅叠层的形状比增大。栅叠层在硬掩模栅腐蚀方法中的稳定性就成为更先进的基本规则中的一个问题。
在栅制作工艺中,如图2所示,常常必须剥离热氧化牺牲层,然后生长新鲜的栅氧化物。热氧化牺牲层20的厚度受制于氧化物剥离过程中的腐蚀的各向异性程度。热氧化层20的任何下切都会在后续的多晶硅24(栅导体)填充氮化硅层26的栅孔之后引起覆盖区22的形成。覆盖区22使器件的性能下降。
而且,在诸如图4B所示的任何各向同性腐蚀中,离子51不沿均匀的方向向着氮化硅层50被加速。因此,腐蚀沿各个方向进行,使光刻胶层52下切,从而限制器件的封装密度。在图4B中,氮化硅层50可以形成在硅衬底(未示出)上。
虽然氮化硅的腐蚀技术得到了高度发展,但此技术固有的某些问题仍然存在。一个特别的问题是,在保持相对于光刻胶层和氧化层二者的高选择性的情况下,对高形状比氮化硅层的腐蚀。因此,对于在保持相对于光刻胶层和氧化层的高的选择性并避免随后的图象完整性损失的情况下,对高形状比的氮化硅进行图形化的工艺,存在着需求。
为了满足这一需求和其它的需求,并考虑到其目的,本发明提供了一种在镶嵌腐蚀方法中各向异性地腐蚀多层结构的氮化硅层中的沟槽的工艺。此工艺包含下列步骤:激活含有聚合剂、氢源、氧化剂和稀有气体稀释剂的腐蚀剂气体以形成高密度等离子体,其中的腐蚀剂气体相对于氧化硅层(形成在衬底上和氮化物层下)和光刻胶层(形成在氮化物层上),具有高的氮化物选择性;以及引入高密度等离子体,以便腐蚀氮化硅层的暴露部分,从而形成延伸到氧化硅层的沟槽。
在本发明中,聚合剂选自CF4、C2F6和C3F8中的至少一个;氢源选自CHF3、CH2F2、CH3F和H2中的至少一个;氧化剂选自CO、CO2和O2中的至少一个;而稀有气体稀释剂选自He、Ar和Ne中的至少一个。在本发明的最佳实施例中,氧化剂包含诸如CO2之类的含碳的氧化剂组分以及诸如He中的O2之类的氧化剂-稀有气体组分。对各个组分的浓度进行选择,以便得到相对于光刻胶具有例如至少约为3∶1,最好是至少约为4∶1的高的氮化物选择性,且相对于氧化物具有例如至少约为4∶1,最好是至少约为5∶1的高的氮化物选择性的腐蚀剂气体。
根据本发明另一实施例,诸如控制射频(RF)电源之类的用来控制等离子体方向性的电源,被分隔于诸如线圈之类的用来激活腐蚀剂气体的电源。RF电源最好施加到结构的与氮化硅层被腐蚀的侧相反的侧。
本发明的目的还在于一种制造金属氧化物半导体场效应晶体管(MOSFET)的工艺,此工艺包含下列步骤:在至少具有二个浅沟槽隔离区的衬底上制作氧化硅层;在氧化层上制作氮化硅层;在氮化物层上淀积光刻胶,使光刻胶确定暴露氮化物层的窗口;激活具有上述组分的腐蚀剂气体,以便形成高密度等离子体;引入高密度等离子体,以便在镶嵌腐蚀工艺中将氮化物层的暴露部分腐蚀到氧化层,从而在窗口处的氮化物层中留下栅孔;在栅孔中淀积栅导体;以及清除在栅导体形成栅支柱处的至少部分氮化硅层。
本发明的目的还在于一种在镶嵌腐蚀方法中在多层结构的氮化硅层中腐蚀沟槽的腐蚀剂气体组分。如上所述,此腐蚀剂气体组分包含聚合剂、氢源、氧化剂以及稀有气体稀释剂,并相对于氧化硅层和相对于光刻胶层具有高的氮化物选择性。
应该理解的是,上述一般描述和下列详细描述都是示例性的而不是对本发明的限制。
从下列结合附图的详细描述中,可以最好地理解本发明。需要强调的是,根据通常的做法,图中的各个部件是不按比例绘出的。相反,为了清楚起见,各个部件被随意放大或缩小了。在这些附图中:
图1A示出了待要被标准栅腐蚀工艺腐蚀的多层结构的分布;
图1B示出了常规氮化物腐蚀工艺和常规光刻胶剥离工艺之后的图1A的多层结构的分布;
图2示出了由于常规各向同性腐蚀而具有不希望有的氧化层下切的多层结构的分布;
图3A示出了根据本发明为镶嵌栅腐蚀工艺制备的多层结构的分布;
图3B示出了根据本发明的氮化物腐蚀工艺之后的图3A的多层结构的分布;
图4A示出了根据本发明的使用偏离衬底腐蚀的氮化物层的分布;
图4B示出了用不偏离的衬底使用常规工艺腐蚀的氮化物层的分布;以及
图5A-5L示出了在金属氧化物半导体场效应晶体管的制造过程的不同阶段中的多层结构的分布。
本发明提供了一种在镶嵌腐蚀方法中相对于光刻胶和氧化物具有提高了的选择性并提供可调节各向异性的氮化物腐蚀工艺和组分。描述了使氮化物腐蚀更为有效的干法腐蚀工艺。最佳的腐蚀剂气体是由C2F6、CH3F、CO2、He、O2和Ar组成的混合物。本发明使氮化硅的各向异性腐蚀能够按制作栅导体所要求的那样停止于二氧化硅薄层上。在诸如动态随机存取存储器(DRAM)和逻辑器件之类的半导体器件中,制作栅导体(即“栅”)是所期望的。
图3A和3B示出了制作多层结构30的氮化硅层31中的沟槽(或图形)的镶嵌栅腐蚀工艺。多层结构30还包括半导体器件32、薄氧化层33以及形成嵌套线35a和隔离线35b的光刻胶层34。在镶嵌栅腐蚀工艺中,与图1A和1B所示的标准栅腐蚀工艺相反,光刻胶层34被用来图形化氮化硅层31中的线条和沟槽,使腐蚀之后大部分氮化物层保留在结构上。然后剥离光刻胶并用栅导体37填充形成在氮化硅层31中的沟槽36a和36b。
如图3A和3B所示,镶嵌栅制作工艺要求在隔离线35b附近几乎100%的光刻胶加载以及嵌套线35a附近50%的光刻胶加载。借助于裁剪相对于光刻胶的高的氮化物选择性的腐蚀化学,由于腐蚀工艺必须设计成与氮化物反应,故光刻胶加载的局部变化变得比标准腐蚀工艺更不重要。换言之,由于腐蚀被设计成与氮化物反应,故能够将工艺设计成对100%-50%的光刻胶局部加载的变化不灵敏,但不能够设计成对100%-50%的氮化硅局部加载的变化不灵敏(如图1A所示)。更确切地说,在光刻胶的隔离线16b周围的氮化硅硬掩模14的腐蚀过程中,如图1B所示,腐蚀剂气体与位于隔离线下方的氮化物反应;在镶嵌栅腐蚀工艺中,在任何线条附近不存在这样高度暴露的氮化硅。
本发明的腐蚀剂气体的组分对于提供相对于氧化硅层和光刻胶层具有高的氮化物选择性的气体是重要的。相对于氧化物和光刻胶的“氮化物选择性”,分别意味着氮化物的腐蚀速率对氧化物的腐蚀速率的比率以及氮化物的腐蚀速率对光刻胶的腐蚀速率的比率。本发明的腐蚀剂气体包括聚合剂、氢源、氧化剂和稀有气体稀释剂。这些组分最好被组合成能够得到相对于氧化物的氮化物选择性至少约为4∶1,而相对于光刻胶的氮化物选择性至少约为3∶1。相对于氧化物的氮化物选择性至少约为5∶1,而相对于光刻胶的氮化物选择性至少约为4∶1则更好。
本发明的腐蚀剂气体的聚合剂是导致形成钝化层的产物母体物质。此聚合剂最好是选自CF4、C2F6和C3F8中的至少一个的碳氟化合物。氟有助于氮化硅层中的氮化物的反应,但不与氧化硅(或二氧化硅)层中的硅反应。聚合剂由于起CFx聚合物产物母体的降低空穴供应量的作用而减小了下切并控制了氮化物的斜度。确切地说,聚合剂数量的减少使分布更垂直。同时,聚合剂数量的增加改善了相对于氧化物的氮化物选择性。
本发明的腐蚀剂的氢源增强了氮化物的化学腐蚀(由各向同性的提高所提供的)并改善了相对于光刻胶的选择性(由聚合作用和氟清洗二者提供的)。氢源最好选自CHF3、CH2F2、CH3F和H2中的至少一个。由于氢易燃,故在某些情况下,为了安全起见应该避免氢。借助于增加氢源的数量,改善了相对于光刻胶的氮化物选择性。
本发明还使用氧化剂,借助于沿垂直方向增强腐蚀而协助清除介质层。此氧化剂是一种由CO、CO2和O2中的至少一个组成的含氧的化合物。由于光刻胶不像氮化硅那样有大量加载,故氧化剂的加入降低了相对于光刻胶的选择性。借助于增加聚合物含量以保留光刻胶,在高形状比部件中更容易发生腐蚀停止。氧化剂是一种容易与聚合剂反应以形成比原来的碳氟化合物更具挥发性的产物(诸如COFx化合物)。氧化剂的加入协助清除钝化层,并使气相等离子体化学C/F比率变低,从而进一步增强腐蚀速率并减少钝化聚合物的形成。氧化剂数量的增加提高了相对于氧化物的选择性。氧化剂最好包含诸如CO2之类的含碳的氧化剂组分以及诸如He中的O2之类的氧化剂-稀有气体组分。
氧化剂气体的最后一个组分是稀有气体稀释剂,最好选自He、Ar、Ne中的至少一个。如果没有稀有气体,则本发明的腐蚀剂气体相对于氧化物不具有高的选择性。这样,若相对于氧化物的选择性不够高,则应该提高稀有气体的数量。
本发明范围内的示例性实施例包括由1%-10%体积的聚合剂、5%-30%体积的氢源、1%-25%体积的含碳组分、0.1%-20%体积的氧化剂-稀有气体组分(亦即在稀有气体中氧化剂的相对浓度约为30%)、以及0.1%-50%体积的稀有气体组成的气体混合物。腐蚀剂气体最好包括3%-8%体积的聚合剂、10%-30%体积的氢源、5%-15%体积的含碳组分、5%-15%体积的氧化剂-稀有气体组分(亦即在稀有气体中氧化剂的相对浓度约为30%)、以及10%-50%体积的稀有气体。聚合剂是C2F6、氢源是CH3F、含碳组分是CO2、氧化剂-稀有气体组分是He中的O2、稀有气体是Ar则更好。
基于如上所述的各个组分的功能,可以根据特定应用的需要调整各个组分的具体浓度以达到本发明的目的。例如,若腐蚀剂气体呈现相对于氧化物的氮化物选择性不足,则应该提高氧化剂的浓度,应该提高聚合剂的浓度,或二者组合。此外,稀有气体稀释剂的存在有助于相对于氧化物的选择性。
而且,若腐蚀剂气体呈现相对于光刻胶的氮化物选择性不足,则应该提高氢源的浓度。若提高氢源浓度之后仍然无法得到相对于光刻胶的适当的氮化物选择性,则应该按提高腐蚀剂气体的H∶F比率的方法来选择特殊的聚合剂和氢源化合物。从上述条件减少氢和氧化剂二者,由于氢源(例如CH3F)的损失被氧化剂(例如CO)的减少所平衡,故得到相似的分布。
借助于改变腐蚀剂气体的各个相对浓度,还可以控制倾斜角。例如,提高聚合剂(例如C2F6)的剂量而其它各组分保持恒定,就增大了氮化物层中的沟槽的倾斜程度。小心地控制高形状比氮化物零件中的倾斜角的能力,使得能够在后续工艺中更容易对孔进行填充。
根据本发明,对腐蚀剂气体进行激活以形成高密度等离子体。用来控制等离子体方向性的电源与用来激活腐蚀剂气体以形成高密度等离子体的电源彼此隔离。于是,被隔离的电源构成独立于等离子体发生机构的对晶片上偏压的控制。由于离子轰击能量主要受晶片偏压的控制,而离子流量(和等离子体密度)主要受施加于发生结构(例如感应等离子体源中的线圈)的功率的控制,故使用术语“隔离”。
图4A示出了这一结构,其中腐蚀剂气体被引入工作室40(示于虚线),并用第一电源41激活腐蚀剂气体以形成具有离子42的高密度等离子体。诸如RF电源43之类的第二电源与第一电源41隔离。最好用耦合于工作室40的真空泵45将工作室40的压力保持在大约2-40毫乇。
诸如被隔离的等离子体源所用的那种被偏置的衬底,通常用几千伏特使晶片平台的电位振荡。虽然这一电位的某些部分被等离子体电子(板极电容)和晶片吸盘电容屏蔽,但轰击晶片的离子的加速度高得多,通常相当于50-500V的加速度。这一额外的能量加速了沿垂直于晶片表面方向的腐蚀,而且是本发明中的各向异性腐蚀的原因。
如图4A所示,在被偏置的衬底中,RF电源43被施加到衬底48的背面,亦即与被腐蚀的层(例如氮化硅层)相反的衬底侧。在图4A中,衬底48可以代表制作在硅衬底(未示出)上的氮化硅层。RF电源43将离子42向衬底加速,从而提高此方向的腐蚀速率。光刻胶49上的图形被直接转移到下方的各层,从而使封装密度最大化。
高密度等离子体表示等离子体中的带电粒子密度。在常规或典型的密度的等离子体中,离子密度通常小于大约1011cm-3,而在高密度源中,部分离化在1011cm-3以上。高密度等离子体源沿垂直于反应室边界的方向加速等离子体电子,使电子平均自由程比等离子体尺寸长。这一长的平均自由程允许较低的工作压力,但同时要求较高的离化度以便维持具有较高的离子壁流量的等离子体。
在Applied Materials High Density Plasma干法腐蚀工作室中演示了本发明的工艺,虽然也可以使用其它的高密度源(例如Lam9100)。含有本发明的气体混合物的任何相似的工作室都可产生所希望的结果,这是在本发明的范围之内的。工作室的压力最好在大约2-40毫乇之间的范围内。
上述的氮化物层的腐蚀可以组合在图5A-5L所示的制作金属氧化物半导体场效应晶体管(MOSFET)的工艺中。这些工艺步骤不一定要按所述的顺序执行和描述。根据本发明的制造方法特别适合于制作具有非常薄的栅氧化物(例如小于5nm)的MOSFET。
在下述的例子中,MOSFET的制作开始于衬底130,此衬底可以是诸如硅衬底的任何已知的半导体衬底。如图5A所示,衬底130被衬垫氧化层135和氮化硅层131覆盖。衬垫氧化层135的厚度通常为5-20nm。可以用厚度为8nm的SiO2层作为衬垫氧化层135。可以用快速热退火工艺(RTP)或炉子工艺来制作氧化层135。氮化硅层131可以由Si3N4组成,其厚度可以约为90nm。可以用高温低压化学汽相淀积(LPCVD)工艺来制作氮化硅层131。可以使用包括等离子体增强化学汽相淀积(PECVD)的其它的淀积工艺。作为变通,可以溅射氮化硅层。
接着,在氮化硅层131上旋涂单层光刻胶132。然后,如图5B所示,用常规光刻方法对光刻胶层132进行图形化,以确定后续腐蚀步骤的腐蚀窗口133。腐蚀窗口133为后续镶嵌腐蚀暴露部分氮化硅层131。在镶嵌腐蚀工艺中,大部分氮化硅层被光刻胶层覆盖;在标准的腐蚀工艺中,只有选定的光刻胶线条被用来覆盖相应的氮化物线条。可以用多层光刻胶或包括硬烘焙的掩模的任何其它掩模来代替单层光刻胶。腐蚀窗口133的形状与尺寸确定了接着要腐蚀的浅沟槽隔离(STI)沟槽的横向尺寸。这种STI沟槽(亦即所知的场氧化物隔离沟槽)通常被用于MOS工艺中以提供相邻晶体管之间的隔离。可以用硅的局部氧化(LOCOS)或多重缓冲LOCOS来代替STI。
如图5C所示,现在用恰当的腐蚀技术将光刻胶图形转移到下方的层状结构中。这一步骤是不关键的。STI沟槽134的深度DSTI可以是100nm或更大。在用适当的绝缘体填充STI沟槽134之前,如图5D所示,可以在沟槽134内部热生长薄的氧化层146。若沟槽134待要用作为淀积的氧化物的原硅酸四乙酯(TEOS)填充,则特别推荐这一生长。淀积的TEOS通常在与硅衬底130的界面处具有不规则的表面状态。在不存在氧化层146的情况下,这种不规则的表面状态可以对硅衬底130的工作造成不利的影响。
在本例子中,如图5D所示,光刻胶132被清除,制作一个薄的热氧化层146,然后淀积TEOS 136,使所有的STI沟槽134被填充到底部。可以用LPCVD工艺来淀积TEOS 136。许多其它的材料可以用来代替TEOS,只要保证相邻晶体管(在图5A-5L中未示出)的充分隔离即可。TEOS的优点在于它为任何后续的化学机械抛光(CMP)整平步骤提供了良好的停止层。
如图5E示意地示出的那样,接着用CMP步骤整平结构的上表面。在本实施例中,CMP步骤清除过量的TEOS 136,并停止于氮化硅层131上。层131的上表面137现在完全平坦了。在CMP之后,这一氮化硅层131的厚度被稍许减小到大约75nm。
在后续步骤中(见图5F),借助于在被整平的表面137的顶部制作额外的层而完成衬垫氧化层135顶部上的介质叠层(DST)。在本例子中,介质叠层包含:
    ·Si3N4层131(厚度减小到大约75nm);以及
    ·Si3N4层138(厚度约为50nm)。
在本实施例中,介质叠层制作在已经包含诸如STI或LOCOS沟槽之类的某些层和结构元件的半导体结构的顶部上。虽然如此,介质叠层也可以制作在包括单个衬底、预制衬底、包含其它电路的半导体器件等等的任何类型的半导体结构上。此叠层也可以包括额外的氮化硅层。
在后续步骤(未示出,导致图5G)中,光刻工艺被用来确定待要制作的栅支柱的横向尺寸(亦即栅长度LGATE和栅宽度WGATE)以及形状。在本申请中,术语“栅支柱”被用来描述从半导体结构突出的栅结构。此支柱可以具有任何形状和尺寸,只要侧壁垂直(亦即垂直于半导体结构)即可。但应该指出的是,形状比太大的栅支柱在制作之后容易翻倒。
由于存在许多确定栅支柱的横向尺寸和形状的不同的方法,故未示出这一步骤。如图5G所示,在光刻胶掩模148中基本上提供了一个腐蚀窗口140。腐蚀窗口140的尺寸和形状大致与待要制作的栅支柱的横向尺寸和形状相同。腐蚀窗口140的长度确定了栅孔150的长度,栅孔的长度又最终确定了栅的长度。这一栅长度则决定了有效沟道长度。
为了制作栅孔150,制作栅的反应离子刻蚀(RIE)工艺被用来将制作在光刻胶掩模148中的腐蚀窗口140转移到介质叠层中。制作栅的RIE工艺是上面结合图3A和3B所述的那种。如上所述,可以优化RIE工艺以确保介质叠层的各个氮化硅层的恰当腐蚀。
如图5G所示,在本例子中,制作栅的RIE工艺被设计成腐蚀介质叠层的氮化物层138和131并停止于衬垫氧化层135。重要的是,相对于衬垫氧化物的选择性要为4∶1或更好,因为否则衬垫氧化物135可能受到强烈冲击,其厚度会减小。栅孔150的深度DGATE(大致与图5F中的介质叠的厚度DSTACK相同)确定了也待要制作的包括栅氧化物的栅支柱的高度。用作栅的这一支柱的高度通常在100-200nm之间。将来的MOSFET将具有50nm和甚至更小的栅长度。用本发明的工艺能够容易地制作如此短的栅。常规栅电极的宽度(纸平面向外)在2-50微米之间。
在确定介质叠层中的栅孔150之后,可以从栅孔的底部清除其余的衬垫氧化物135。用浸入氢氟酸(HF)的方法可以做到这一点。由于HF冲击氧化层135但不冲击硅衬底130,故HF是非常合适的。在清除栅孔150底部的衬垫氧化层135之前,清除光刻胶148。如图5H所示,在完全清除衬垫氧化层135之后,可以如图5I所示制作精确确定的栅氧化物149。这一栅氧化物149的厚度和质量与衬垫氧化层135的厚度和质量无关。如果需要,此栅氧化物149也可以比衬垫氧化层135更厚。
在制作栅氧化物149之前,可以在栅孔150底部制作牺牲氧化层(未示出)。然后腐蚀掉这一牺牲氧化层,并对结构进行加热。这一短步骤修复了栅孔150底部的硅衬底130的可能损伤(制作栅的RIE引起的)。
如图5I所示,栅导体材料141被淀积在栅孔150中和介质叠层的最上部氮化硅层138内。重要的是确保栅导体材料141完全填充栅孔150。此栅导体材料141可以是LPCVD(例如在大约650℃下)淀积的多晶硅。可以用非晶硅来代替多晶硅,并在稍后转变成多晶硅。实际上,可以将任何适合用作栅导体的材料“填充”到栅孔150中。本发明不局限于多晶硅栅。
可以对多晶硅进行掺杂或不掺杂。可以在多晶硅淀积过程中或淀积之后,将掺杂剂引入到多晶硅中。本发明工艺的优点是,不一定要在源区和漏区被注入时对多晶硅栅进行掺杂。若认为合适,可以在后续的制造步骤中对多晶硅栅进行硅化,并可以在后续工艺过程中淀积帽介质以保护栅。
在淀积栅导体材料141之后,可以执行整平步骤。最合适的是CMP工艺。如图5J所示,在整平之后,介质叠层的最上部氮化硅层138被暴露。
然后必须清除介质叠层。用热磷酸剥离氮化硅层138和131。在完全清除介质叠层之后,如图5K所示,具有垂直侧壁142的栅导体材料141组成的突出支柱被暴露出来。现在可以在例如R.Colclaser的《Microelectronics Processing and Device Design》一书第10章p.266-69(John Wiley & Sons,1988)中所述的标准的CMOS工艺中继续加工。
在后续步骤中,如果还没有这样早就做过了的话,则可以如图5L所示,用注入适合的掺杂剂的方法确定源区143和漏区144。于是就确定了沟道145(位于支柱下方和漏区144与源区143之间)。如上所述,由于源-沟道界面和漏-沟道界面是陡峭的和突变的(轮廓分明的),故沟道长度大约与栅长度相同,且重叠被最小化。
虽然参照某些具体的实施例进行了上述描述,但并不意味着本发明局限于所述细节。而是在权利要求的等效范围内可以做出各种各样的修正而不超越本发明。

Claims (30)

1.一种在包括(i)衬底;(ii)制作在所述衬底上的氧化硅层;(iii)制作在所述氧化层上的氮化硅层;以及(iv)制作在所述氮化硅层上并确定窗口以便为镶嵌腐蚀暴露部分所述氮化硅层的多层结构的氮化硅层中各向异性地腐蚀沟槽的工艺,所述工艺包含下列步骤:
激活含有聚合剂、氢源、氧化剂和稀有气体稀释剂的腐蚀气体以形成高密度等离子体,其中所述腐蚀剂气体相对于所述氧化硅层和所述光刻胶层,具有高的氮化物选择性;以及
引入所述高密度等离子体,以便腐蚀所述氮化硅层的暴露部分,从而形成延伸到所述氧化硅层的所述沟槽。
2.权利要求1的工艺,其中所述聚合剂选自CF4、C2F6和C3F8中的至少一个构成的组。
3.权利要求1的工艺,其中所述氢源选自CHF3、CH2F2、CH3F和H2中的至少一个构成的组。
4.权利要求1的工艺,其中所述氧化剂选自CO、CO2和O2中的至少一个构成的组。
5.权利要求1的工艺,其中所述稀有气体稀释剂选自He、Ar和Ne中的至少一个构成的组。
6.权利要求1的工艺,其中所述氧化剂包含含碳的氧化剂组分以及氧化剂-稀有气体组分。
7.权利要求6的工艺,其中:
所述聚合剂是C2F6
所述氢源是CH3F;
所述稀有气体稀释剂是Ar;
所述含碳的组分是CO2;以及
所述氧化剂-稀有气体组分是He中的O2
8.权利要求6的工艺,其中:
加入大约0.1%-25%体积的所述聚合剂;
加入大约5%-30%体积的所述氢源;
加入大约0.1%-50%体积的所述稀有气体稀释剂;
加入大约1%-25%体积的所述含碳组分;以及
在所述稀有气体中大约30%的氧化剂相对浓度中,加入大约0.1%-20%体积的所述氧化剂-稀有气体组分。
9.权利要求8的工艺,其中:
加入大约3%-8%体积的所述聚合剂;
加入大约10%-30%体积的所述氢源;
加入大约5%-15%体积的所述含碳组分;
在所述稀有气体中大约30%的氧化剂相对浓度中,加入大约5%-15%体积的所述氧化剂-稀有气体组分;以及
加入大约10%-50%体积的所述稀有气体稀释剂。
10.权利要求1的工艺,其中所述腐蚀剂气体具有相对于所述氧化硅层至少约为4∶1,且相对于所述光刻胶层至少约为3∶1的氮化物选择性。
11.权利要求10的工艺,其中所述腐蚀剂气体具有相对于所述氧化硅层至少约为5∶1,且相对于所述光刻胶层至少约为4∶1的氮化物选择性。
12.权利要求1的工艺,还包含将电源施加到所述多层结构以控制所述高密度等离子体在所述多层结构上的方向性。
13.权利要求12的工艺,其中施加电源的步骤包含将RF电源施加到所述多层结构的与所述氮化硅层相反的一侧。
14.权利要求12的工艺,其中激活所述腐蚀剂气体的步骤使用线圈,且所述电源与所述线圈隔离。
15.权利要求1的工艺,其中激活所述腐蚀剂气体的步骤包括形成密度至少为1011cm-3的所述高密度等离子体。
16.权利要求1的工艺,还包含下列步骤:
将所述腐蚀剂气体引入工作室;以及
利用连接于所述工作室的真空泵保持所述工作室的压力在大约2-40毫乇之间。
17.一种制造金属氧化物半导体场效应晶体管的工艺,它包含下列步骤:
在衬底上制作氧化硅层;
在所述衬底中淀积至少二个浅沟槽隔离区,以便确定所述至少二个浅沟槽隔离区之间的间隔;
在所述氧化硅层上制作氮化硅层;
在所述氮化硅层上淀积光刻胶,其中所述光刻胶确定窗口以便为镶嵌腐蚀暴露部分所述氮化硅层,其中所述部分位于所述间隔上;
激活含有聚合剂、氢源、氧化剂和稀有气体稀释剂的腐蚀气体以形成高密度等离子体,其中所述腐蚀剂气体相对于所述氧化硅层和所述光刻胶层,具有高的氮化物选择性;
引入所述高密度等离子体,以便将所述氮化硅层的暴露部分腐蚀到所述氧化硅层,从而在所述氮化硅层的所述窗口处留下栅孔;
在所述栅孔中淀积栅导体;以及
清除至少部分所述氮化硅层,其中所述栅导体形成延伸在所述间隔上的栅支柱。
18.权利要求17的工艺,其中所述腐蚀剂气体具有相对于所述氧化硅层至少约为4∶1,且相对于所述光刻胶层至少约为3∶1的氮化物选择性。
19.权利要求18的工艺,其中所述腐蚀剂气体具有相对于所述氧化硅层至少约为5∶1,且相对于所述光刻胶层至少约为4∶1的氮化物选择性。
20.权利要求17的工艺,还包含:
在引入所述高密度等离子体以腐蚀所述氮化硅层的暴露部分的步骤之后,清除所述栅孔底部的所述氧化硅层;以及
在淀积所述栅孔中的栅导体之前,在所述栅孔的底部制作薄的栅氧化物。
21.权利要求20的工艺,其中所述薄的栅氧化物用加热所述衬底的方法制作。
22.权利要求17的工艺,其中:
所述聚合剂选自CF4、C2F6和C3F8中的至少一个构成的组;
所述氢源选自CHF3、CH2F2、CH3F和H2中的至少一个构成的组;
所述氧化剂选自CO、CO2和O2中的至少一个构成的组;而
所述稀有气体稀释剂选自He、Ar和Ne中的至少一个构成的组。
23.一种在镶嵌腐蚀方法中在多层结构的氮化硅层中腐蚀沟槽的组分,所述组分包含含有聚合剂、氢源、氧化剂以及稀有气体稀释剂,并相对于氧化硅和相对于光刻胶具有高的氮化物选择性的腐蚀剂气体。
24.权利要求23的组分,其中:
所述聚合剂选自CF4、C2F6和C3F8中的至少一个构成的组;
所述氢源选自CHF3、CH2F2、CH3F和H2中的至少一个构成的组;
所述氧化剂选自CO、CO2和O2中的至少一个构成的组;而
所述稀有气体稀释剂选自He、Ar和Ne中的至少一个构成的组。
25.权利要求23的组分,其中所述氧化剂包含含碳的氧化剂组分以及氧化剂-稀有气体组分。
26.权利要求25的组分,其中:
所述聚合剂是C2F6
所述氢源是CH3F;
所述稀有气体稀释剂是Ar;
所述含碳的组分是CO2;以及
所述氧化剂-稀有气体组分是He中的O2
27.权利要求25的组分,其中:
加入大约0.1%-25%体积的所述聚合剂;
加入大约5%-30%体积的所述氢源;
加入大约0.1%-50%体积的所述稀有气体稀释剂;
加入大约1%-25%体积的所述含碳组分;以及
在所述稀有气体中大约30%的氧化剂相对浓度中,加入大约0.1%-20%体积的所述氧化剂-稀有气体组分。
28.权利要求27的组分,其中:
加入大约3%-8%体积的所述聚合剂;
加入大约10%-30%体积的所述氢源;
加入大约5%-15%体积的所述含碳组分;
在所述稀有气体中大约30%的氧化剂相对浓度中,加入大约5%-15%体积的所述氧化剂-稀有气体组分;以及
加入大约10%-50%体积的所述稀有气体稀释剂。
29.权利要求23的组分,其中所述腐蚀剂气体具有相对于所述氧化硅层至少约为4∶1,且相对于所述光刻胶层至少约为3∶1的氮化物选择性。
30.权利要求23的组分,其中所述腐蚀剂气体具有相对于所述氧化硅层至少约为5∶1,且相对于所述光刻胶层至少约为4∶1的氮化物选择性。
CNB001065572A 1999-04-26 2000-04-12 镶嵌蚀刻方法中各向异性氮化物的蚀刻工艺 Expired - Fee Related CN1273866C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/299,137 1999-04-26
US09/299,137 US6461529B1 (en) 1999-04-26 1999-04-26 Anisotropic nitride etch process with high selectivity to oxide and photoresist layers in a damascene etch scheme

Publications (2)

Publication Number Publication Date
CN1271871A true CN1271871A (zh) 2000-11-01
CN1273866C CN1273866C (zh) 2006-09-06

Family

ID=23153460

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB001065572A Expired - Fee Related CN1273866C (zh) 1999-04-26 2000-04-12 镶嵌蚀刻方法中各向异性氮化物的蚀刻工艺

Country Status (6)

Country Link
US (1) US6461529B1 (zh)
JP (1) JP3409313B2 (zh)
KR (1) KR100320830B1 (zh)
CN (1) CN1273866C (zh)
SG (1) SG98375A1 (zh)
TW (1) TW484185B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103596875A (zh) * 2011-04-14 2014-02-19 罗伯特·博世有限公司 具有凹入式栓塞的晶圆
CN103681462A (zh) * 2012-09-12 2014-03-26 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100327342B1 (ko) * 1999-10-27 2002-03-06 윤종용 반도체소자 제조용 식각조성물 및 이 식각조성물을 이용한 식각방법
KR100364814B1 (ko) * 2001-02-28 2002-12-16 주식회사 하이닉스반도체 반도체소자의 트랜치 형성방법
KR100565481B1 (ko) * 2001-06-11 2006-03-30 엘지전자 주식회사 액정표시소자의 절연막 패턴 형성 장치 및 방법
US6624043B2 (en) * 2001-09-24 2003-09-23 Sharp Laboratories Of America, Inc. Metal gate CMOS and method of manufacturing the same
KR100453951B1 (ko) * 2002-01-16 2004-10-20 주식회사 하이닉스반도체 반도체소자의 패드산화막 형성방법
DE10224137A1 (de) * 2002-05-24 2003-12-04 Infineon Technologies Ag Ätzgas und Verfahren zum Trockenätzen
US7547635B2 (en) 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
JP2004055610A (ja) * 2002-07-16 2004-02-19 Fujitsu Ltd 半導体装置の製造方法
US20040087153A1 (en) * 2002-10-31 2004-05-06 Yan Du Method of etching a silicon-containing dielectric material
US20040084411A1 (en) * 2002-10-31 2004-05-06 Applied Materials, Inc. Method of etching a silicon-containing dielectric material
US6933219B1 (en) * 2003-11-18 2005-08-23 Advanced Micro Devices, Inc. Tightly spaced gate formation through damascene process
US7268082B2 (en) * 2004-04-30 2007-09-11 International Business Machines Corporation Highly selective nitride etching employing surface mediated uniform reactive layer films
KR100559947B1 (ko) 2004-08-18 2006-03-13 동부아남반도체 주식회사 반도체 소자용 금속 배선의 후처리 방법
US7081397B2 (en) * 2004-08-30 2006-07-25 International Business Machines Corporation Trench sidewall passivation for lateral RIE in a selective silicon-on-insulator process flow
US7338907B2 (en) * 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
US7354867B2 (en) * 2005-02-03 2008-04-08 International Business Machines Corporation Etch process for improving yield of dielectric contacts on nickel silicides
US8021992B2 (en) * 2005-09-01 2011-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. High aspect ratio gap fill application using high density plasma chemical vapor deposition
US7628866B2 (en) * 2006-11-23 2009-12-08 United Microelectronics Corp. Method of cleaning wafer after etching process
US7435636B1 (en) * 2007-03-29 2008-10-14 Micron Technology, Inc. Fabrication of self-aligned gallium arsenide MOSFETs using damascene gate methods
KR100877107B1 (ko) 2007-06-28 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 층간절연막 형성방법
US20090104776A1 (en) * 2007-10-18 2009-04-23 International Business Machines Corporation Methods for forming nested and isolated lines in semiconductor devices
US20110223770A1 (en) * 2010-03-15 2011-09-15 Lam Research Corporation Nitride plasma etch with highly tunable selectivity to oxide
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
US20130122712A1 (en) * 2011-11-14 2013-05-16 Jong Mun Kim Method of etching high aspect ratio features in a dielectric layer
JP6295130B2 (ja) * 2014-04-22 2018-03-14 株式会社日立ハイテクノロジーズ ドライエッチング方法
US9609730B2 (en) * 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US11456177B2 (en) * 2020-09-22 2022-09-27 Nanya Technology Corporation Method of manufacturing semiconductor device
KR20230051888A (ko) 2021-10-12 2023-04-19 삼성전자주식회사 감광액 공급 시스템 및 이를 이용한 반도체 장치의 제조 방법

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59140233A (ja) * 1983-01-31 1984-08-11 Shin Etsu Chem Co Ltd 合成樹脂成形品の表面処理方法
US4511430A (en) 1984-01-30 1985-04-16 International Business Machines Corporation Control of etch rate ratio of SiO2 /photoresist for quartz planarization etch back process
US5102817A (en) 1985-03-21 1992-04-07 Texas Instruments Incorporated Vertical DRAM cell and method
IT1204243B (it) 1986-03-06 1989-03-01 Sgs Microelettronica Spa Procedimento autoallineato per la fabbricazione di celle dmos di piccole dimensioni e dispositivi mos ottenuti mediante detto procedimento
US4857140A (en) 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
DE3830430A1 (de) * 1987-09-11 1989-03-23 Japan Synthetic Rubber Co Ltd Verfahren zur herstellung von ueberzuegen
WO1990005994A1 (en) 1988-11-18 1990-05-31 Kabushiki Kaisha Tokuda Seisakusho Dry-etching method
US5421891A (en) * 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5201993A (en) 1989-07-20 1993-04-13 Micron Technology, Inc. Anisotropic etch method
US4978420A (en) 1990-01-03 1990-12-18 Hewlett-Packard Company Single chamber via etch through a dual-layer dielectric
JP3215151B2 (ja) 1992-03-04 2001-10-02 株式会社東芝 ドライエッチング方法
US5286344A (en) 1992-06-15 1994-02-15 Micron Technology, Inc. Process for selectively etching a layer of silicon dioxide on an underlying stop layer of silicon nitride
US5610099A (en) 1994-06-28 1997-03-11 Ramtron International Corporation Process for fabricating transistors using composite nitride structure
US5824604A (en) * 1996-01-23 1998-10-20 Mattson Technology, Inc. Hydrocarbon-enhanced dry stripping of photoresist
US5843847A (en) * 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US5814563A (en) * 1996-04-29 1998-09-29 Applied Materials, Inc. Method for etching dielectric using fluorohydrocarbon gas, NH3 -generating gas, and carbon-oxygen gas
US6015761A (en) * 1996-06-26 2000-01-18 Applied Materials, Inc. Microwave-activated etching of dielectric layers
US6051504A (en) 1997-08-15 2000-04-18 International Business Machines Corporation Anisotropic and selective nitride etch process for high aspect ratio features in high density plasma
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6066566A (en) * 1998-01-28 2000-05-23 International Business Machines Corporation High selectivity collar oxide etch processes
US6014979A (en) * 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103596875A (zh) * 2011-04-14 2014-02-19 罗伯特·博世有限公司 具有凹入式栓塞的晶圆
CN103596875B (zh) * 2011-04-14 2016-10-26 罗伯特·博世有限公司 具有凹入式栓塞的晶圆
CN103681462A (zh) * 2012-09-12 2014-03-26 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN103681462B (zh) * 2012-09-12 2017-08-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法

Also Published As

Publication number Publication date
KR100320830B1 (ko) 2002-01-18
US6461529B1 (en) 2002-10-08
JP2000340552A (ja) 2000-12-08
SG98375A1 (en) 2003-09-19
KR20000071774A (ko) 2000-11-25
JP3409313B2 (ja) 2003-05-26
TW484185B (en) 2002-04-21
CN1273866C (zh) 2006-09-06

Similar Documents

Publication Publication Date Title
CN1273866C (zh) 镶嵌蚀刻方法中各向异性氮化物的蚀刻工艺
US6774048B2 (en) Method of manufacturing a semiconductor device
US5907775A (en) Non-volatile memory device with high gate coupling ratio and manufacturing process therefor
US8592326B2 (en) Method for fabricating an inter dielectric layer in semiconductor device
US7879683B2 (en) Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
US6022802A (en) Low dielectric constant intermetal dielectric (IMD) by formation of air gap between metal lines
CN1177357C (zh) 具有最小覆盖电容的金属氧化物半导体场效应晶体管
US7329610B2 (en) Method of high selectivity SAC etching
CN1855548A (zh) 半导体存储器件及其制造方法
US20060186480A1 (en) Charge-trapping memory device and method for production
JP2001517868A (ja) フルオロプロペンまたはフルオロプロピレンを用いた酸化物の選択的エッチングプラズマ処理
JPH088217A (ja) 半導体基板上の平坦でない表面を研磨する方法
CN1797715A (zh) 凹陷栅以及用于制造具有凹陷栅的半导体器件的方法
US6670265B2 (en) Low K dielectic etch in high density plasma etcher
TW202331802A (zh) 在選擇性地蝕刻氮化矽間隔物期間改進輪廓控制之方法
CN1870231A (zh) 制造具有钨栅电极的半导体器件的方法
US7566924B2 (en) Semiconductor device with gate spacer of positive slope and fabrication method thereof
CN1262014C (zh) 半导体器件和半导体器件的制造方法
CN1378264A (zh) 一种具有牺牲型填充柱的自行对准接触方法
CN1129177C (zh) 制造半导体器件的方法
CN101064283A (zh) 半导体器件的制造方法
US7026256B2 (en) Method for forming flowable dielectric layer in semiconductor device
US7056803B2 (en) Method for forming capacitor of semiconductor device
US7476604B1 (en) Aggressive cleaning process for semiconductor device contact formation
CN110571150A (zh) 高深宽比开口的刻蚀方法及半导体器件

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20060906

Termination date: 20100412