CN116546817A - 3d-nand器件中用于字线分离的方法 - Google Patents

3d-nand器件中用于字线分离的方法 Download PDF

Info

Publication number
CN116546817A
CN116546817A CN202310532735.8A CN202310532735A CN116546817A CN 116546817 A CN116546817 A CN 116546817A CN 202310532735 A CN202310532735 A CN 202310532735A CN 116546817 A CN116546817 A CN 116546817A
Authority
CN
China
Prior art keywords
metal
stack
oxide
tungsten
sides
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202310532735.8A
Other languages
English (en)
Inventor
陈一宏
段子青
A·B·玛里克
K·陈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN116546817A publication Critical patent/CN116546817A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76888By rendering at least a portion of the conductor non conductive, e.g. oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/41Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region of a memory region comprising a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Non-Volatile Memory (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

描述半导体器件(例如,3D‑NAND)中字线分离的方法。金属膜沉积在字线中及在间隔开的氧化物层的堆叠的表面上。通过高温氧化及蚀刻氧化物,或通过以单层方式氧化表面及蚀刻氧化物的低温原子层蚀刻,来移除金属膜。在移除金属覆盖层之后,字线被金属膜填充。

Description

3D-NAND器件中用于字线分离的方法
本申请是申请日为“2018年5月22日”、申请号为“201880033951.5”、题为“3D-NAND器件中用于字线分离的方法”的分案申请。
技术领域
本公开内容的实施例大致上关于填充半导体器件中的间隙或特征的方法。更具体而言,本公开内容的实施例关于在三维半导体器件中使用钨的间隙填充的方法。
背景技术
半导体及电子加工产业持续致力于更大的生产率,同时提高沉积在具有更大表面积的基板上的层的均匀性。与新材料组合的这些相同因素还提供每基板面积电路的更高集成度。随着电路集成度提高,对关于层厚度的更大的均匀性及工艺控制的需求也提高了。结果,已开发了各种技术以便以成本效益的方式在基板上沉积层,同时维持对层的特性的控制。
V-NAND或3D-NAND(三维与非门)结构用于闪存应用中。V-NAND器件为垂直堆叠的NAND结构,具有以块的形式排列的大量单元。后栅极(Gate-last)字线形成在当前是3D-NAND制造中的主流工艺流程。在字线形成之前,基板为由内存串(memory string)支撑的分层氧化物堆叠。使用CVD或ALD由钨填充间隙空间。内存堆叠的顶部/侧壁也涂布有钨。通过蚀刻工艺(例如,反应性离子蚀刻(RIE)工艺或基于自由基的蚀刻工艺)从堆叠的顶部/侧壁移除钨,使得钨仅存在于间隙空间内并且每个钨填充物与其他钨填充物完全分离。然而,由于蚀刻工艺的负载效应(loading effect),分离蚀刻经常造成在堆叠的顶部处与在底部处不同的字线凹陷(recess)。随着氧化物堆叠层增加,这种差异变得更加明显。
因此,本领域需要在三维结构化器件中用于字线分离的方法。
发明内容
本公开内容的一个或更多个实施例针对处理基板的方法。提供基板,所述基板具有间隔的氧化物层的堆叠,且所述氧化物层之间具有间隙。所述堆叠具有顶部及侧面,且每个间隙可形成字线。在所述堆叠上沉积金属,使得所述金属填充间隙并且以金属覆盖层(metal overburden)的厚度来覆盖所述堆叠的顶部及侧面。对金属进行氧化达到约覆盖层的厚度的深度,以在所述堆叠的顶部及侧面上形成金属氧化物,并且将所述金属留在间隙中作为字线。从所述堆叠的顶部及侧面蚀刻金属氧化物,将金属留在字线中。
本公开内容的另外的实施例针对处理基板的方法。提供基板,所述基板具有间隔的氧化物层的堆叠,且所述氧化物层之间具有间隙。所述堆叠具有顶部及侧面,且每个间隙可形成字线。在所述堆叠上沉积金属,使得所述金属填充间隙并且以金属覆盖层的厚度来覆盖所述堆叠的顶部及侧面。重复地氧化金属的表面以形成金属氧化物并从所述堆叠蚀刻所述金属氧化物,直到金属覆盖层被移除,将所述金属留在间隙中作为字线。
本公开内容的进一步的实施例针对处理基板的方法。提供基板,所述基板具有间隔的氧化物层的堆叠,且所述氧化物层之间具有间隙。所述堆叠具有顶部及侧面,且每个间隙可形成字线。任选地在间隔的氧化物层上形成阻挡层。所述阻挡层包括TiN,TiN的厚度在约至约/>的范围中。在所述堆叠上沉积钨,使得钨填充间隙并且以钨覆盖层的厚度来覆盖所述堆叠的顶部及侧面。重复地氧化钨的表面以形成氧化钨并蚀刻氧化钨的表面以移除钨覆盖层。间隙中的钨被留下得与所述堆叠的侧面基本上齐平。氧化所述表面的步骤包括暴露于O2,且蚀刻氧化钨的步骤包括暴露于WCl5或WCl6中的一者或更多者。钨沉积、钨氧化及蚀刻氧化钨在小于或等于约400℃的温度下发生。
附图说明
为了详细地了解本公开内容的上述特征,可通过参照实施例来对如以上简要总结的本公开内容进行更具体的叙述,所述实施例中的一些实施例示于附图中。附图仅示出本公开内容的典型实施例,且因此不应被视为限制,因为本公开内容可容许其他等效实施例。
图1示出根据本公开内容的一个或更多个实施例的其中将形成字线的氧化物层的堆叠;
图2示出在图1的氧化物层的堆叠上形成的金属膜;
图3A及图3B示出根据本公开内容的一个或更多个实施例的高温氧化及蚀刻工艺;及
图4A至图4D示出根据本公开内容的一个或更多个实施例的低温氧化及蚀刻工艺。
具体实施方式
在描述本公开内容的若干示例性实施例之前,应理解,本公开内容不限于以下描述中记载的构造或工艺步骤的细节。本公开内容能够具有其他实施例并且能够以各种方式来实践或执行。
本文使用的“基板”是指任何基板或在基板上形成的材料表面,在制造工艺期间在所述基板或材料表面上执行膜处理。例如,取决于应用,可在其上执行处理的基板表面包含如硅、氧化硅、应变硅、绝缘体上硅(SOI)、掺杂碳的硅氧化物、非晶硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石之类的材料,以及任何其他材料,诸如金属、金属氮化物、金属合金及其他导电材料。基板包含但不限于半导体晶片。可将基板暴露于预处理工艺以抛光、蚀刻、还原、氧化、羟基化、退火、UV固化、电子束固化及/或烘烤基板表面。除了直接在基板本身的表面上进行膜处理之外,在本公开内容中,所揭示的膜处理步骤中的任一者也可如以下所更详细揭示地在基板上形成的底层上执行,并且术语“基板表面”旨在包含如上下文所指出的这种底层。因此,例如,在已将膜/层或部分膜/层沉积至基板表面上的情况下,新沉积的膜/层的暴露表面变成基板表面。
本公开内容的一个或更多个实施例有利地提供在三维结构的间隙中沉积钨膜的方法。本公开内容的一些实施例有利地提供沉积保形氧化钨膜及选择性氧化钨移除的方法。一些实施例有利地提供由从氧化物堆叠的顶部至底部具有均匀厚度的高质量钨膜来填充V-NAND的侧向特征的方法。
本公开内容的一个或更多个实施例针对基于高度保形金属(例如,钨)氧化及高选择性金属氧化物(例如,氧化钨)移除的字线分离的方法。所述这些方法可使用高温或低温工艺。
参照图1,基板10上具有数层的堆叠12。基板10可为任何适合的基板材料,并且不限于与个别层中的任一者相同的材料。例如,在一些实施例中,基板为氧化物、氮化物或金属层。堆叠12具有多个氧化物层14,氧化物层14彼此间隔开以在氧化物层14之间形成间隙16,使得每个间隙形成字线或用于待形成的字线的壳。堆叠12具有顶部13及侧面15。
堆叠12可具有任何适合数量的氧化物层14或间隙16。在一些实施例中,堆叠12中形成有大于或等于约10、20、30、40、50、60、70、80、90或100个间隙16,所述这些间隙16可用以形成相同数量的字线。在连接所有各个氧化物层14的内存串11的任一侧上测量间隙16的数量。在一些实施例中,间隙16的数量为2的倍数。在一些实施例中,间隙的数量等于2n,其中n为任何正整数。在一些实施例中,间隙16的数量约为96。
如图2所示,金属20沉积在堆叠12上。金属20填充间隙16以形成字线19。金属20形成在堆叠12的周围,使得金属20以金属覆盖层22的厚度来覆盖堆叠12的顶部13及侧面15。覆盖层22为沉积在间隙16外侧的材料。取决于用于沉积金属20的工艺,覆盖层可具有任何适合的厚度。在一些实施例中,覆盖层22的厚度在约至约/> 的范围中。在一些实施例中,覆盖层22的厚度大于或等于约/> 或/>
金属20可为用于字线应用中的任何适合的金属。在一些具体实施例中,金属膜包括钨。在一些具体实施例中,金属膜不包含钨。在一些具体实施例中,金属膜基本由钨组成。如在这方面所使用地,术语“基本由钨组成”意指块材金属膜的组成按原子计为大于或等于约95%、98%或99%的钨。块材金属膜不包含可能接触另一个表面(例如,氧化物表面)或者开放用于进一步处理的金属20的表面部分,因为这些区域可能具有与相邻材料的一些少量的原子扩散或具有一些像氢化物终止(hydride termination)那样的表面部分(moiety)。
可通过任何适合的技术来沉积金属20,包含但不限于,化学气相沉积(CVD)或原子层沉积(ALD)。金属20沉积在间隙空间内及内存堆叠的顶部/侧壁处。
参照图3A及图3B,示出了具有低温蚀刻工艺的高温氧化。在图3A中,金属20被氧化成金属氧化物25达约为覆盖层22的厚度的深度。基本上所有的覆盖层22可在一个步骤的氧化工艺中被氧化。覆盖层的氧化可受到例如氧化气体流动、氧化气体分压、晶片温度及工艺时间的影响,以形成金属覆盖层22的高度保形氧化。
氧化气体可为任何适合的氧化气体,所述氧化气体可与已沉积的金属20反应。适合的氧化气体包含但不限于O2、O3、H2O、H2O2、NO、NO2或它们的组合。在一些实施例中,氧化气体包括O2或O3中的一者或更多者。在一些实施例中,氧化气体基本由O2或O3中的一者或更多者组成。如以此方式所使用地,术语“基本由……组成”意指氧化气体的氧化成分大于或等于所述物种的约95%、98%或99%。氧化气体可包含惰性气体、稀释气体或载气。例如,氧化气体可与Ar、He或N2中的一者或更多者共流或以Ar、He或N2中的一者或更多者来稀释。
一些实施例的金属氧化物25包括氧化钨(WOx)。在一些实施例中,金属氧化物25为金属20的衍生物,其可包含或不包含氧。适合的金属膜的衍生物包含但不限于氮化物、硼化物、碳化物、氧氮化物(oxynitride)、氧硼化物(oxyboride)、氧碳化物(oxycarbide)、碳氮化物(carbonitride)、碳化硼(borocarbide)、氮化硼(boronitride)、碳氮化硼(borocarbonitride)、硼氧碳氮化物(borooxycarbonitride)、氧碳氮化物(oxycarbonitride)、硼氧碳化物(borooxycarbide)及氮氧化硼(borooxynitride)。本领域熟知技术的人员将理解,沉积的金属膜可具有未按金属膜的化学计量的原子数量。例如,标明为WO的膜可具有不同量的钨和氧。WO膜可例如为90原子%的钨。WO用于描述氧化钨膜意指所述膜包括钨原子和氧原子,并且不应被视为将膜限制为特定组成。在一些实施例中,膜基本由标明的原子组成。例如,基本由WO组成的膜意指膜的组成大于或等于约95%、98%或99%的钨原子和氧原子。
在图3A及图3B所示的工艺中,氧化工艺在高温下发生。如在这方面所使用地,术语“高温”意指温度大于或等于约400℃、450℃、500℃、550℃、600℃、650℃、700℃、750℃、800℃或850℃。在一些实施例中,氧化工艺的温度在约400℃至约950℃的范围中,或在约450℃至约900℃的范围中,或在约500℃至约850℃的范围中。
氧化工艺期间的压力可在约0.1托至约760托的范围中。工艺时间(暴露时间)可在约0.1秒至12小时的范围中。压力及工艺时间可受氧化工艺期间的温度影响。
在一些实施例中,覆盖层22的金属20被氧化以在堆叠12的顶部13及侧面15上形成金属氧化物25,同时将金属20留在间隙16中以形成字线19。在一些实施例中,基本上间隙16中的所有金属20在氧化之后保留。如以此方式所使用地,术语“基本上所有”意指金属20被氧化至堆叠12的侧面内。
参照图3B,从堆叠12的顶部13及侧面15蚀刻由覆盖层22形成的金属氧化物25,以在间隙14中留下金属20作为字线19。一些实施例的蚀刻工艺为选择性蚀刻工艺,它将在基本上不影响金属20的情况下移除金属氧化物25。
在一些实施例中,蚀刻剂包括金属卤化物蚀刻剂。一些实施例的蚀刻剂基本由金属卤化物蚀刻剂组成。如在这方面所使用地,术语“基本由金属卤化物蚀刻剂组成”意指指定的金属卤化物蚀刻剂物种构成总的金属卤化物蚀刻剂物种的95%、98%或99%(不包含惰性气体、稀释气体或载气)。金属卤化物蚀刻剂可具有与金属氧化物25相同的金属物种或不同的金属物种。在一些实施例中,金属卤化物蚀刻剂包括与金属氧化物25相同的金属物种。
在一些实施例中,金属卤化物蚀刻剂包括基本由氯组成的卤素原子。如在这方面所使用地,术语“基本由氯组成”意指氯按原子计构成金属卤化物蚀刻剂中卤素原子的大于或等于约95%、98%或99%。
在一些实施例中,金属卤化物蚀刻剂包括WCl5或WCl6中的一者或更多者。在一些实施例中,金属卤化物蚀刻剂基本由WCl5或WCl6中的一者或更多者组成。如在这方面所使用地,术语“基本由……组成”意指所述物种以摩尔计构成金属卤化物的大于或等于约95%、98%或99%。
一些实施例的蚀刻温度低于氧化期间的温度。在一些实施例中,蚀刻温度在约300℃至约600℃的范围中,或在约400℃至约500℃的范围中。在一些实施例中,蚀刻温度小于或等于约600℃、550℃、500℃、450℃、400℃或350℃。在一些实施例中,蚀刻期间的温度比氧化期间的温度低了大于或等于约50℃、75℃、100℃、125℃或150℃。在一些实施例中,氧化及蚀刻两者皆在大于或等于约400℃的温度下发生。
在蚀刻金属氧化物25之后,移除金属覆盖层22,并且保留在间隙14中作为字线19的金属20与堆叠12的侧面15基本上齐平。如以此方式所使用地,术语“基本上齐平”意指间隙16内的字线19在堆叠12的侧面内。
图3A及图3B中示出的实施例示出了高温氧化─低温蚀刻工艺。图4A至图4D中所示的实施例示出了低温氧化及蚀刻工艺。这些工艺之间的一些差异包含但不限于较低温度氧化及较慢地移除覆盖层。
在堆叠12使金属20形成有覆盖层22之后(如图2中所示),可通过原子层蚀刻类型工艺来执行覆盖层的移除。原子层蚀刻工艺可包含多个重复工艺,所述多个重复工艺修改待蚀刻的表面,然后挥发或移除修改的表面,从而暴露下方的新表面。
参照图4A,覆盖层22被氧化以在覆盖层22的表面上形成金属氧化物25。氧化工艺可使用与图3A中所示的实施例相同的试剂及参数,而具有一些改变以允许发生原子层蚀刻(ALE)工艺。一些实施例的氧化工艺发生在约300℃至约500℃的范围中的温度下。在一些实施例中,氧化发生在小于或等于约500℃、450℃、400℃或350℃的温度下。低温氧化工艺期间的压力可在约0.1托至约760托的范围中。工艺或暴露时间可在约0.001秒至约60秒的范围中。在原子层蚀刻工艺中,每个氧化及蚀刻工艺皆为自限制的,因为一旦已与活性表面位置(active surface site)反应,所述工艺即停止。例如,一旦金属20的所有活性表面位置暴露于氧化剂并且与氧化剂反应以形成金属氧化物25膜,将不能容易地发生进一步氧化。类似地,一旦蚀刻剂已移除氧化物膜以暴露下方的新鲜金属20,蚀刻剂即不具有待移除的进一步氧化物。
参照图4B,在金属20上形成金属氧化物25之后,将堆叠12暴露于蚀刻剂。蚀刻剂及蚀刻条件可与关于图3B所示及所述的蚀刻剂及蚀刻条件相同。金属20上的金属氧化物25层比图3A及图3B中所示的实施例薄,使得蚀刻工艺将花费较少时间。在一些实施例中,蚀刻剂工艺时间在约0.1秒至约60秒的范围中。
在一些实施例中,氧化及蚀刻工艺期间的温度在小于或等于约400℃的温度下发生。图4B中示出的蚀刻工艺的温度可与图4A的氧化工艺相同,使得含有堆叠12的基板可快速地从处理腔室的一个处理区域移动至处理腔室的另一个处理区域,以依序地将基板暴露于氧化及蚀刻条件。
此类型的ALE工艺可被称作空间ALE,其中各种反应性气体(例如,氧化剂及蚀刻剂)流入处理腔室的分离区域,并且基板在区域之间和区域中移动。通过包括一个或更多个净化气流及/或真空流的气帘(gas curtain)来分离不同的处理区域,以防止氧化剂与蚀刻剂在气相中混合。ALE工艺也可通过时域处理来执行,其中用氧化剂填充处理腔室,对处理腔室进行净化以移除过量的氧化剂及反应产物或副产物,用蚀刻剂填充处理腔室,然后对处理腔室进行净化以移除过量的蚀刻剂及反应产物或副产物。在时域处理中,基板可维持静止。
图4C及图4D分别示出重复暴露于氧化剂以形成金属氧化物25以及暴露于蚀刻剂以移除金属氧化物。尽管所述工艺示出为使用两个循环,但本领域熟知技术的人员将理解,这仅为一个代表,并且可使用多于两个的循环来移除覆盖层22并且将金属20留在间隙16中作为字线19。
在一些实施例中,在沉积金属20之前,在氧化物层14上形成阻挡层。阻挡层可为任何适合的阻挡材料。在一些实施例中,阻挡层包括氮化钛。在一些实施例中,阻挡层基本由氮化钛组成。如以此方式所使用地,术语“基本由氮化钛组成”意指阻挡层的组成按原子计大于或等于约95%、98%或99%的钛原子及氮原子。阻挡层的厚度可为任何适合的厚度。在一些实施例中,阻挡层的厚度在约至约/>的范围中。
贯穿本说明书对“一个实施例”、“某些实施例”、“一个或更多个实施例”或“实施例”的引用意指结合所述实施例所描述的特定特征、结构、材料或特性包含在本公开内容的至少一个实施例中。因此,在贯穿本说明书中各处的短语如“在一个或更多个实施例中”、“在某些实施例中”、“在一个实施例中”或“在实施例中”的出现不一定是指本公开内容的相同的实施例。此外,特定特征、结构、材料或特性可在一个或更多个实施例中以任何适合的方式来组合。
尽管已参考特定实施例描述了本公开内容,但应理解,这些实施例仅为说明本公开内容的原理及应用。对于本领域熟知技术的人员来说,显然,在不脱离本公开内容的精神及范围的情况下,可对本公开内容的方法及设备作各种修改及变化。因此,预期本公开内容包含在所附权利要求书及其等效物的范围内的修改及变化。

Claims (13)

1.一种处理基板的方法,所述方法包括以下步骤:
提供基板,所述基板具有间隔开的氧化物层的堆叠,所述氧化物层之间具有间隙,所述堆叠具有顶部及侧面,每个间隙可形成字线;
在所述堆叠上沉积金属,使得所述金属填充所述间隙并且以金属覆盖层的厚度来覆盖所述堆叠的所述顶部及所述侧面;
对所述金属进行氧化达所述堆叠的所述侧面的约内的深度,以在所述堆叠的所述顶部及所述侧面上形成金属氧化物,并且将所述金属留在所述间隙中作为字线;以及
从所述堆叠的所述顶部及所述侧面蚀刻所述金属氧化物,将所述金属留在所述字线中。
2.如权利要求1所述的方法,其特征在于,所述金属包括钨,并且所述金属氧化物包括氧化钨。
3.如权利要求1所述的方法,其特征在于,所述金属基本由钨组成。
4.如权利要求1所述的方法,进一步包括在所述氧化物层上形成阻挡层的步骤,并且所述金属沉积在所述阻挡层上。
5.如权利要求4所述的方法,其特征在于,所述阻挡层包括TiN,TiN的厚度在约至约/>的范围中。
6.如权利要求1所述的方法,其特征在于,存在多于50个的字线。
7.如权利要求1所述的方法,其特征在于,在蚀刻所述金属氧化物之后,移除所述金属覆盖层,并且在所述间隙中用于形成字线的所述金属与所述堆叠的所述侧面基本上齐平。
8.如权利要求1所述的方法,其特征在于,所述氧化及蚀刻在大于或等于约400℃的温度下发生。
9.如权利要求1所述的方法,其特征在于,蚀刻所述金属氧化物的步骤包括:将所述金属氧化物暴露于金属卤化物蚀刻剂。
10.如权利要求9所述的方法,其特征在于,所述金属卤化物蚀刻剂包括与所述金属氧化物相同的金属物种。
11.如权利要求9所述的方法,其特征在于,所述金属卤化物蚀刻剂包括与所述金属氧化物的金属物种不同的金属物种。
12.如权利要求1所述的方法,其特征在于,氧化所述金属的步骤包括:将所述金属暴露于O2
13.如权利要求1所述的方法,其特征在于,所述金属覆盖层的厚度大于或等于约
CN202310532735.8A 2017-05-31 2018-05-22 3d-nand器件中用于字线分离的方法 Pending CN116546817A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762513371P 2017-05-31 2017-05-31
US62/513,371 2017-05-31
CN201880033951.5A CN110678981B (zh) 2017-05-31 2018-05-22 3d-nand器件中用于字线分离的方法
PCT/US2018/033893 WO2018222443A1 (en) 2017-05-31 2018-05-22 Methods for wordline separation in 3d-nand devices

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201880033951.5A Division CN110678981B (zh) 2017-05-31 2018-05-22 3d-nand器件中用于字线分离的方法

Publications (1)

Publication Number Publication Date
CN116546817A true CN116546817A (zh) 2023-08-04

Family

ID=64456363

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202310532735.8A Pending CN116546817A (zh) 2017-05-31 2018-05-22 3d-nand器件中用于字线分离的方法
CN201880033951.5A Active CN110678981B (zh) 2017-05-31 2018-05-22 3d-nand器件中用于字线分离的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201880033951.5A Active CN110678981B (zh) 2017-05-31 2018-05-22 3d-nand器件中用于字线分离的方法

Country Status (6)

Country Link
US (3) US10354916B2 (zh)
JP (1) JP2020522130A (zh)
KR (2) KR102310232B1 (zh)
CN (2) CN116546817A (zh)
TW (1) TWI726210B (zh)
WO (1) WO2018222443A1 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116546817A (zh) 2017-05-31 2023-08-04 应用材料公司 3d-nand器件中用于字线分离的方法
US10950498B2 (en) 2017-05-31 2021-03-16 Applied Materials, Inc. Selective and self-limiting tungsten etch process
CN111566786B (zh) 2017-12-14 2024-03-15 应用材料公司 蚀刻金属氧化物而蚀刻残留物较少的方法
CN110914986B (zh) 2019-03-29 2021-05-14 长江存储科技有限责任公司 三维存储器件及其制造方法
CN110896671B (zh) 2019-03-29 2021-07-30 长江存储科技有限责任公司 三维存储器件及其制造方法
CN110896672B (zh) 2019-03-29 2021-05-25 长江存储科技有限责任公司 三维存储器件及其制造方法
CN110914985B (zh) 2019-03-29 2021-04-27 长江存储科技有限责任公司 三维存储器件及其制造方法
CN110896670B (zh) 2019-03-29 2021-06-08 长江存储科技有限责任公司 三维存储器件及其制造方法
US11189635B2 (en) 2019-04-01 2021-11-30 Applied Materials, Inc. 3D-NAND mold
US11638377B2 (en) 2019-09-13 2023-04-25 Applied Materials, Inc. Self-aligned select gate cut for 3D NAND
TW202115827A (zh) * 2019-09-26 2021-04-16 美商應用材料股份有限公司 選擇性和自我限制性之鎢蝕刻製程
US11587796B2 (en) 2020-01-23 2023-02-21 Applied Materials, Inc. 3D-NAND memory cell structure
US11930637B2 (en) 2020-06-19 2024-03-12 Applied Materials, Inc. Confined charge trap layer
US20230232624A1 (en) * 2022-01-18 2023-07-20 Sandisk Technologies Llc Three-dimensional memory device containing self-aligned isolation strips and methods for forming the same

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3649512A (en) 1970-06-25 1972-03-14 Varian Associates Large area sputtering target electrode structure
DE3613018A1 (de) 1986-04-17 1987-10-22 Santos Pereira Ribeiro Car Dos Magnetron-zerstaeubungskathode
US6235632B1 (en) * 1998-01-13 2001-05-22 Advanced Micro Devices, Inc. Tungsten plug formation
KR100291330B1 (ko) 1998-07-02 2001-07-12 윤종용 반도체장치제조용스퍼터링설비및이를이용한스퍼터링방법
EP1322444A4 (en) 2000-09-11 2008-01-23 Tosoh Smd Inc METHOD FOR MANUFACTURING CATHODIC SPUTTER TARGETS WITH INTERNAL COOLING CHANNELS
JP2002220661A (ja) 2001-01-29 2002-08-09 Sharp Corp スパッタリング装置に用いられるバッキングプレートおよびスパッタリング方法
TW200710243A (en) 2005-05-02 2007-03-16 Honeywell Int Inc Target assemblies, targets, backing plates, and methods of target cooling
US20070045108A1 (en) 2005-08-26 2007-03-01 Demaray Richard E Monolithic sputter target backing plate with integrated cooling passages
KR20090020210A (ko) * 2007-08-23 2009-02-26 삼성전자주식회사 반도체 소자의 게이트 구조물 형성 방법
JP2009158775A (ja) * 2007-12-27 2009-07-16 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
EP2225774A4 (en) * 2007-12-27 2013-04-24 Toshiba Kk SEMICONDUCTOR MEMORY DEVICE AND MANUFACTURING METHOD THEREFOR
FR2933802B1 (fr) * 2008-07-10 2010-10-15 Commissariat Energie Atomique Structure et procede de realisation d'un dispositif microelectronique de memoire 3d de type flash nand.
KR101604054B1 (ko) * 2009-09-03 2016-03-16 삼성전자주식회사 반도체 소자 및 그 형성방법
KR101669470B1 (ko) * 2009-10-14 2016-10-26 삼성전자주식회사 금속 실리사이드층을 포함하는 반도체 소자
KR20120003351A (ko) * 2010-07-02 2012-01-10 삼성전자주식회사 3차원 비휘발성 메모리 장치 및 그 동작방법
US8659944B2 (en) 2010-09-01 2014-02-25 Macronix International Co., Ltd. Memory architecture of 3D array with diode in memory string
TWI427744B (zh) * 2010-09-01 2014-02-21 Macronix Int Co Ltd 具有二極體於記憶串列中的三維陣列記憶體架構
CN102569203A (zh) * 2010-12-20 2012-07-11 中国科学院微电子研究所 一种三维多值非挥发存储器的制备方法
US8865594B2 (en) * 2011-03-10 2014-10-21 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
KR20130024303A (ko) * 2011-08-31 2013-03-08 에스케이하이닉스 주식회사 반도체 소자 및 그 제조방법
US8946082B2 (en) * 2011-09-16 2015-02-03 GlobalFoundries, Inc. Methods for forming semiconductor devices
JP2013065777A (ja) * 2011-09-20 2013-04-11 Toshiba Corp 半導体装置および半導体装置の製造方法
KR20130066950A (ko) * 2011-12-13 2013-06-21 에스케이하이닉스 주식회사 3차원 불휘발성 메모리 소자와, 이를 포함하는 메모리 시스템과, 그 제조방법
US20140061039A1 (en) 2012-09-05 2014-03-06 Applied Materials, Inc. Target cooling for physical vapor deposition (pvd) processing systems
US9076879B2 (en) * 2012-09-11 2015-07-07 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory device and method for fabricating the same
KR102031179B1 (ko) * 2012-09-11 2019-11-08 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
US9252151B2 (en) * 2013-07-08 2016-02-02 Sandisk Technologies Inc. Three dimensional NAND device with birds beak containing floating gates and method of making thereof
KR102161814B1 (ko) * 2013-11-19 2020-10-06 삼성전자주식회사 수직형 메모리 장치 및 그 제조 방법
US9716101B2 (en) 2015-05-20 2017-07-25 Sandisk Technologies Llc Forming 3D memory cells after word line replacement
JP6478813B2 (ja) * 2015-05-28 2019-03-06 東京エレクトロン株式会社 金属膜の成膜方法
US9449843B1 (en) * 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10648087B2 (en) * 2015-11-10 2020-05-12 L'Air Liquide, SociétéAnonyme pour l'Exploitation et l'Etude des Procédés Georges Claude Etching reactants and plasma-free etching processes using the same
JP2018533674A (ja) 2015-11-12 2018-11-15 ハネウェル・インターナショナル・インコーポレーテッドHoneywell International Inc. 冷却構造を有するスパッタリングターゲットバッキングプレートアセンブリ
TWI651787B (zh) 2016-04-07 2019-02-21 旺宏電子股份有限公司 半導體結構與其製造方法
US10325763B2 (en) 2017-01-20 2019-06-18 Applied Materials, Inc. Physical vapor deposition processing systems target cooling
CN116546817A (zh) 2017-05-31 2023-08-04 应用材料公司 3d-nand器件中用于字线分离的方法
TW201939628A (zh) 2018-03-02 2019-10-01 美商微材料有限責任公司 移除金屬氧化物的方法

Also Published As

Publication number Publication date
KR20210122907A (ko) 2021-10-12
US20180350671A1 (en) 2018-12-06
US10622251B2 (en) 2020-04-14
CN110678981A (zh) 2020-01-10
WO2018222443A1 (en) 2018-12-06
US10354916B2 (en) 2019-07-16
KR102310232B1 (ko) 2021-10-06
US10886172B2 (en) 2021-01-05
TW201907548A (zh) 2019-02-16
KR102484303B1 (ko) 2023-01-02
TWI726210B (zh) 2021-05-01
US20190333810A1 (en) 2019-10-31
JP2020522130A (ja) 2020-07-27
CN110678981B (zh) 2023-05-23
KR20200001624A (ko) 2020-01-06
US20200243382A1 (en) 2020-07-30

Similar Documents

Publication Publication Date Title
CN110678981B (zh) 3d-nand器件中用于字线分离的方法
US11094544B2 (en) Methods of forming self-aligned vias
US11515170B2 (en) 3D NAND etch
US9981286B2 (en) Selective formation of metal silicides
US10770349B2 (en) Critical dimension control for self-aligned contact patterning
US10559578B2 (en) Deposition of cobalt films with high deposition rate
US10854511B2 (en) Methods of lowering wordline resistance
JP7362911B2 (ja) 選択的自己制限式タングステンエッチングプロセス
JP2006173299A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination