CN115084067A - 内连线结构 - Google Patents

内连线结构 Download PDF

Info

Publication number
CN115084067A
CN115084067A CN202210492483.6A CN202210492483A CN115084067A CN 115084067 A CN115084067 A CN 115084067A CN 202210492483 A CN202210492483 A CN 202210492483A CN 115084067 A CN115084067 A CN 115084067A
Authority
CN
China
Prior art keywords
layer
conductive layer
conductive
metal
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210492483.6A
Other languages
English (en)
Inventor
李书玮
詹佑晨
杨士亿
李明翰
睦晓林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN115084067A publication Critical patent/CN115084067A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53276Conductive materials containing carbon, e.g. fullerenes

Abstract

本公开提出一种内连线结构。内连线结构包括导电层的第一部分,且导电层包括一或多个石墨烯层。导电层的第一部分包括对向的第一界面部分与第二界面部分,且第一界面部分与第二界面部分的每一个包括金属位于相邻的石墨烯层之间。结构还包括导电层的第二部分与导电层的第一部分相邻,且导电层的第二部分包括对向的第三界面部分与第四界面部分。第三界面部分与第四界面部分的每一个包括金属位于相邻的石墨烯层之间。内连线结构还包括介电材料位于导电层的第一部分与第二部分之间。

Description

内连线结构
技术领域
本发明实施例涉及内连线结构,尤其涉及采用石墨烯层的内连线结构。
背景技术
随着半导体产业导入更高效能与更多功能的新一代集成电路,形成集成电路的单元密度增加,而构件或单元的尺寸、大小与之间的空间减少。尺寸减少之前只受限于定义结构的光刻能力,但具有较小尺寸的装置几何形状产生新的限制因素。举例来说,随着后段工艺的内连线结构中的金属导电结构尺寸越来越小,片电阻与接点电阻越来越大。因此需改善导电结构。
发明内容
一实施例为内连线结构,其包括导电层的第一部分,且导电层包括一或多个石墨烯层。导电层的该第一部分包括对向的第一界面部分与第二界面部分,且第一界面部分与第二界面部分的每一个包括金属位于相邻的石墨烯层之间。内连线结构还包括导电层的第二部分,与导电层的该第一部分相邻。导电层的第二部分包括对向的第三界面部分与第四界面部分,且第三界面部分与第四界面部分的每一个包括金属位于相邻的石墨烯层之间。内连线结构包括介电材料,位于导电层的第一部分与第二部分之间,且介电材料接触第一界面部分与第三界面部分。
另一实施例为内连线结构,其包括导电层的第一部分,且导电层包括一或多个石墨烯层;导电层的第二部分,位于导电层的第一部分上,其中导电层的第二部分包括自导电层的第一部分延伸的第三部分以及自与第三部分相邻的导电层的第一部分延伸的第四部分。第三部分包括对向的第一界面部分与第二界面部分,第四部分包括对向的第三界面部分与第四界面部分,且第一界面部分、第二介电部分、第三界面部分与第四界面部分的每一个包括金属位于相邻的石墨烯层之间。内连线结构还包括介电材料,位于导电层的第二部分的第三部分与第四部分之间,且介电材料位于导电层的第一部分上并接触第一界面部分与第三界面部分。
又一实施例为内连线结构的形成方法,其包括:形成图案化的掩模层于导电层上,且导电层包括一或多层的石墨烯;形成金属层于图案化的掩模层与导电层上;加热金属层以溶解导电层的部分而形成掺杂碳的金属层部分;移除掺杂碳的金属层部分以形成一或多个开口于导电层中;以及形成介电材料于开口中。
附图说明
图1A及图1B为一些实施例中,制造半导体装置结构的多种阶段之一的剖视图。
图2为一些实施例中,制造内连线结构的一阶段的剖视图。
图3A至图3I为一些实施例中,制造内连线结构的多种阶段的剖视图。
图4A至图4E为一些实施例中,制造内连线结构的多种阶段的剖视图。
附图标记如下:
A:角度
T1:厚度
100:半导体装置结构
102:基板
103:隔离区
104:源极/漏极区
106:栅极堆叠
108:通道区
110:栅极层
112:界面介电层
114:栅极介电层
116:顺应层
118:栅极间隔物
120:硅化物层
122,130:导电接点
124:接点蚀刻停止层
126:层间介电层
128:介电材料
200:装置
300:内连线结构
301:介电层
302:金属间介电层
303:导电层
303a:第一部分
303b:第二部分
304,306,328:导电结构
305:图案化的掩模层
307,324:开口
308:金属层
310:掺杂碳的金属层部分
312:界面部分
314:区域
316:碳掺质
318:石墨烯层
320:金属
322:材料
326:介电材料
330:中心部分
具体实施方式
下述详细描述可搭配附图说明,以利理解本发明的各方面。值得注意的是,各种结构仅用于说明目的而未按比例绘制,如本业常态。实际上为了清楚说明,可任意增加或减少各种结构的尺寸。
下述内容提供的不同实施例或实例可实施本发明的不同结构。下述特定构件与排列的实施例用以简化本发明内容而非局限本发明。举例来说,形成第一构件于第二构件上的叙述包含两者直接接触的实施例,或两者之间隔有其他额外构件而非直接接触的实施例。此外,本发明的多个实例可重复采用相同标号以求简洁,但多种实施例及/或设置中具有相同标号的元件并不必然具有相同的对应关系。
此外,空间相对用语如“在…下方”、“下方”、“较低的”、“上方”、“较高的”、或类似用词,用于描述附图中一些元件或结构与另一元件或结构之间的关系。这些空间相对用语包括使用中或操作中的装置的不同方向,以及附图中所描述的方向。当装置转向不同方向时(旋转90度或其他方向),则使用的空间相对形容词也将依转向后的方向来解释。
图1A至图4E为一些实施例中,制造半导体装置结构所用的例示性工艺。应理解在图1A至图4E所示的工艺之前、之中与之后可提供额外步骤,而工艺的额外实施例可置换或省略一些下述步骤。步骤及/或工艺的顺序可调换。
图1A及图1B为一些实施例中,制造半导体装置结构100的多种阶段之一的剖视图。如图1A及图1B所示,半导体装置结构100包括基板102,与一或多个装置200形成于基板102上。基板102可为半导体基板。在一些实施例中,基板102包括单晶半导体层,其至少位于基板102的表面上。基板102可包含单晶半导体材料,比如但不限于硅、锗、硅锗、砷化镓、锑化铟、磷化镓、锑化镓、砷化铟铝、砷化铟镓、磷化镓锑、砷化镓锑、或磷化铟。举例来说,基板102的组成为硅。在一些实施例中,基板102为绝缘层上硅基板,其包括绝缘层(未图示)位于两个硅层之间。在一实施例中,绝缘层为含氧材料如氧化物。
基板102可包含一或多个缓冲层(未图示)于基板102的表面上。缓冲层可用于使晶格常数自基板逐渐变化至源极/漏极区。缓冲层的形成方法可为外延成长单晶半导体材料,比如但不限于硅、锗、锗锡、硅锗、砷化镓、锑化铟、磷化镓、锑化镓、砷化铟铝、砷化铟镓、磷化镓锑、砷化镓锑、氮化镓、磷化镓、或磷化铟。在一实施例中,基板102包括硅锗缓冲层,其外延成长于硅基板102上。硅锗缓冲层的锗浓度可自最底部的缓冲层所用的30锗原子%增加至最顶部的缓冲层所用的70锗原子%。
基板102可包含多种区域,其掺杂合适的杂质如p型杂质或n型杂质。举例来说,掺质可为n型鳍状场效晶体管所用的磷,或p型鳍状场效晶体管所用的硼。
如上所述,装置200可为任何合适装置如晶体管、二极管、图像感测器、电阻、电容器、电感、存储器单元、或上述的组合。在一些实施例中,装置200为晶体管如平面场效晶体管、鳍状场效晶体管、纳米结构晶体管、或其他合适的晶体管。纳米结构晶体管可包含纳米片晶体管、纳米线晶体管、全绕式栅极晶体管、多桥通道晶体管、或具有栅极围绕通道的任何晶体管。形成于基板102上的装置200的一例为鳍状场效晶体管,如图1A及图1B所示。装置200包括源极/漏极区104与栅极堆叠106。每一栅极堆叠106可位于作为源极区的源极/漏极区104与作为漏极区的源极/漏极区104之间。举例来说,每一栅极堆叠106可沿着Y轴延伸于作为源极区的源极/漏极区104与作为漏极区的源极/漏极区104之间。如图1A所示,两个栅极堆叠106形成于基板102上。在一些实施例中,超过两个栅极堆叠106形成于基板102上。通道区108形成于作为源极区的源极/漏极区104与作为漏极区的源极/漏极区104之间。
源极/漏极区104可包含半导体材料如硅或锗、III-V族半导体化合物、II-VI族半导体化合物、或其他合适的半导体材料。例示性的源极/漏极区104可包含但不限于锗、硅锗、砷化镓、砷化铝镓、磷砷化镓、磷化硅、砷化铟、砷化铝、磷化铟、氮化镓、砷化铟镓、砷化铟铝、锑化镓、磷化铝、磷化镓、或类似物。源极/漏极区104可包含p型掺质如硼、n型掺质如磷或砷及/或含有上述的组合的其他合适掺质。源极/漏极区104的形成方法可为外延成长,其可采用化学气相沉积、原子层沉积、或分子束外延。通道区108可包含一或多种半导体材料如硅、锗、锗锡、硅锗、砷化镓、锑化铟、磷化镓、锑化镓、砷化铟铝、砷化铟镓、磷化镓锑、砷化镓锑、氮化镓、磷化镓、或磷化铟。在一些实施例中,通道区108与基板102包含相同的半导体材料。在一些实施例中,装置200为鳍状场效晶体管,且通道区108为栅极堆叠106之下的多个鳍状物。在一些实施例中,装置200为纳米结构晶体管,而栅极堆叠106围绕通道区108。
每一栅极堆叠106包括栅极层110位于通道区108上(或围绕纳米结构晶体管所用的通道区108)。栅极层110可为含金属材料如钨、钴、铝、钌、铜、上述的多层、或类似物,且其沉积方法可为原子层沉积、等离子体辅助化学气相沉积、分子束沉积、物理气相沉积、或任何合适的沉积技术。每一栅极堆叠106可包含界面介电层112、栅极介电层114位于界面介电层112上、以及一或多个顺应层116位于栅极介电层114上。栅极层110可位于一或多个顺应层116上。界面介电层112可包含介电材料如含氧材料、含氮材料、或上述的多层,且其形成方法可为任何合适的沉积方法如化学气相沉积、等离子体辅助化学气相沉积、或原子层沉积。栅极介电层114可包含介电材料如含氧材料或含氮材料、介电常数高于氧化硅的高介电常数的介电材料、或上述的多层。栅极介电层114的形成方法可为任何合适方法,比如化学气相沉积、等离子体辅助化学气相沉积、或原子层沉积。一或多个顺应层116可包含一或多个阻挡层及/或盖层如含氮材料,比如氮化钽、氮化钛、或类似物。一或多个顺应层116可进一步包含一或多个功函数层,比如碳化铝钛、氧化铝钛、氮化铝钛、或类似物。此处所述的用语“顺应性”指的是在层状物在多种区域上具有相同厚度。一或多个顺应层116的沉积方法可为原子层沉积、等离子体辅助化学气相沉积、分子束外延、或任何合适的沉积技术。
可沿着栅极堆叠106的侧壁(比如栅极介电层114的侧壁)形成栅极间隔物118。栅极间隔物118可包含碳氧化硅、氮化硅、氮氧化硅、碳氮化硅、类似物、上述的多层、或上述的组合,且其沉积方法可为化学气相沉积、原子层沉积、或其他合适的沉积技术。
栅极堆叠106与栅极间隔物118的部分可形成于隔离区103上。隔离区103可形成于基板102上。隔离区103可包含绝缘材料如含氧材料、含氮材料、或上述的组合。绝缘材料的形成方法可为高密度等离子体化学气相沉积、可流动的化学气相沉积、或其他沉积工艺。在一实施例中,隔离区103可包含可流动的化学气相沉积工艺所形成的氧化硅。
接点蚀刻停止层124形成于源极/漏极区104的一部分与隔离区103上,而层间介电层126形成于接点蚀刻停止层124上。接点蚀刻停止层124在形成开口于层间介电层126中的步骤时,可提供停止蚀刻工艺的机制。接点蚀刻停止层124可顺应性地沉积于源极/漏极区104与隔离区103的表面上。接点蚀刻停止层124可包括含氧材料或含氮材料,比如氮化硅、碳氮化硅、氮氧化硅、氮化碳、氧化硅、碳氧化硅、类似物、或上述的组合,且其沉积方法可为化学气相沉积、等离子体辅助化学气相沉积、原子层沉积、或任何合适的沉积技术。层间介电层126可包含四乙氧基硅烷所形成的氧化物、未掺杂的硅酸盐玻璃、或掺杂的氧化硅(如硼磷硅酸盐玻璃、氟硅酸盐玻璃、磷硅酸盐玻璃、或硼硅酸盐玻璃、有机硅酸盐玻璃、碳氧化硅)及/或任何合适的低介电常数的介电材料(比如介电常数低于氧化硅的材料),且其沉积方法可为旋转涂布、化学气相沉积、可流动的化学气相沉积、等离子体辅助化学气相沉积、物理气相沉积、或任何合适的沉积技术。
硅化物层120形成于源极/漏极区104的至少一部分上,如图1A及图1B所示。硅化物层120的材料可包含钨硅化物、钴硅化物、镍硅化物、钛硅化物、钼硅化物与钽硅化物的一或多者。在一些实施例中,硅化物层120包括金属或金属合金的硅化物,且金属包括贵金属、耐火金属、稀土金属、上述的合金、或上述的组合。导电接点122位于每一硅化物层120上。导电接点122的材料可具有钌、钼、钴、镍、钨、钛、钽、铜、铝、氮化钛与氮化钽的一或多者,且导电接点122的形成方法可为任何合适方法如电化学镀或物理气相沉积。硅化物层120与导电接点122的形成方法可为先形成开口于层间介电层126与接点蚀刻停止层124中,以露出源极/漏极区104的至少一部分。接着形成硅化物层120于源极/漏极区104的露出部分上,再形成导电接点122于硅化物层120上。
介电材料128可形成于栅极堆叠106上,且导电接点130形成于介电材料128中,如图1A所示。介电材料128可为含氮材料如碳氮化硅。导电接点130与导电接点122可包含相同材料。导电接点130可电性连接至栅极层110。
半导体装置结构100可进一步包含内连线结构300位于装置200与基板102上,如图2所示。内连线结构300包括多种导电结构,比如第一组的多个导电结构304与第二组的多个导电结构306,以及金属间介电层302以分开并隔离多种导电结构304及306。在一些实施例中,第一组的多个导电结构304为导电线路,而第二组的多个导电结构306可为导电通孔。内连线结构300包括多层的导电结构304,且配置于每一层中的导电结构304可提供电性路径至其下方的多种装置200。导电结构306提供自装置200至导电结构304以及导电结构304之间的垂直电路。举例来说,内连线结构300的最底部的导电结构306可电性连接至导电接点122及130(图1A)。导电结构304与导电结构306的组成可为一或多种导电材料,比如一或多层的石墨烯、金属、金属合金、金属氮化物、或硅化物。举例来说,导电结构304与导电结构306的组成为铜、铝、铝铜合金、钛、氮化钛、钽、氮化钽、氮化钛硅、锆、金、银、钴、镍、钨、氮化钨、氮化钨硅、铂、铬、钼、铪、其他合适的导电材料、或上述的组合。
金属间介电层302包括一或多种介电材料,以隔离多种导电结构304及306。金属间介电层302可包含多个介电层,以埋置多层的导电结构304及306。金属间介电层302的组成为介电材料如氧化硅、碳氢氧化硅、或碳氧化硅。
在一些实施例中,金属间介电层302包括低介电常数的介电材料,其介电常数小于氧化硅的介电常数。在一些实施例中,金属间介电层302的介电常数为约1.5至约3.9。
图3A至图3I为一些实施例中,制造内连线结构300的多种阶段的剖视图。如图3A所示,导电层303形成于介电层301上。导电层303包括一或多层的石墨烯,比如1层至1000层的石墨烯。石墨烯层可水平取向(如图3A所示)或垂直取向。一或多种材料如金属、有机化合物、无机化合物、聚合物、上述的混合物、或其他合适材料可插层导电层303。在一些实施例中,插层材料可减少导电层的电阻。举例来说,可形成一或多个金属于石墨烯层之间,以减少导电层303的电阻。导电层303的形成方法可为任何合适工艺,比如化学气相沉积、等离子体辅助化学气相沉积、原子层沉积、转移法、或机械剥离法。在一些实施例中,导电层303包括多个石墨烯层,其形成方法可为直接热成长、等离子体辅助、扩散辅助、或转移工艺。多个石墨烯层的成长方法可采用脂肪族或芳香族的有机材料或生物材料作为前驱物。在一些实施例中,石墨烯层的形成方法可为解开碳纳米管。导电层303的厚度可为约
Figure BDA0003631610900000081
至约
Figure BDA0003631610900000082
比如约
Figure BDA0003631610900000083
至约
Figure BDA0003631610900000084
介电层301与金属间介电层302可包含相同材料。在一些实施例中,一或多个导电结构(未图示)形成于介电层301中。介电层301可为金属间介电层302的介电层,且形成于介电层301中的一或多个导电结构(未图示)可为图2所示的一或多个导电结构304及306。
如图3B所示,图案化的掩模层305形成于导电层303上。一或多个开口307形成于图案化的掩模层305中,且开口307中露出导电层303的部分。图案化的掩模层305可包含介电材料,比如含氧材料或含氮材料。在一些实施例中,图案化的掩模层305包括氮化硅、碳氮化硅、氧化硅、碳氧化硅、或其他合适材料。图案化的掩模层305的厚度可为约
Figure BDA0003631610900000091
至约
Figure BDA0003631610900000092
在一些实施例中,图案化的掩模层305的厚度可为导电层303的厚度的约1%至约100%。图案化的掩模层305的形成方法可为先形成毯覆性的掩模层于导电层303上,接着图案化毯覆性的掩模层以形成图案化的掩模层305。
如图3C所示,金属层308形成于开口307之中与图案化的掩模层305之上。金属层308可包含金属如钴、铜、镍、钌、钨、钼、钛、锆、钽、或锌,且其形成方法可为任何合适工艺如物理气相沉积。金属层308的厚度T1可为约
Figure BDA0003631610900000093
至约
Figure BDA0003631610900000094
如图3D所示,可加热内连线结构300至低于800℃,比如约200℃至约450℃。加热内连线结构300的方法可为退火工艺。在此升高的温度中,金属层308可溶解导电层303的部分以形成掺杂碳的金属层部分310。举例来说,来自金属层308的金属原子可破坏导电层303的每一石墨烯层的一部分中的键结。自石墨烯层中断键所释放的碳将转为掺质,因此形成掺杂碳的金属层部分310。由于石墨烯为二维材料且导电层303的石墨烯层为水平取向,因此金属原子沿着Z方向破坏石墨烯中的键结。沿着Y或X方向扩散至导电层303中的任何金属原子不会破坏键结,并位于石墨烯层之间。如此一来,掺杂碳的金属层部分310可实质上对准开口307中的金属层308的对应部分(图3B)。导电层303的界面部分312可与掺杂碳的金属层部分310相邻。界面部分312可包含金属插层于相邻的石墨烯层之间。图案化的掩模层305可作为阻挡层,以阻挡金属自金属层308扩散至图案化的掩模层305之下的导电层303的部分。若导电层303越厚,则金属层308需要越高的加热温度或越长的加热时间,以溶解导电层303的部分。因此若图案化的掩模层305的厚度小于导电层303的厚度的约1%,则图案化的掩模层305不足以阻挡金属扩散至图案化的掩模层305之下的导电层303的部分中。另一方面,若图案化的掩模层305的厚度大于导电层303的厚度的约100%,则会增加制造成本而无明显好处。在一些实施例中,掺杂碳的金属层部分310延伸穿过导电层303并接触介电层301。
图3E及图3F为一些实施例中,图3D所示的区域314的放大图。如图3E所示,掺杂碳的金属层部分310包括金属层308与碳掺质316。相邻的界面部分312包括石墨烯层318,以及金属320形成于相邻的石墨烯层318之间。相邻的石墨烯层318之间的金属320的量,可沿着Y方向自掺杂碳的金属层部分310降低如扩散结果。金属320与金属层308可包含相同材料。在图3E所示的实施例中,导电层303不具有任何材料插层其中。如图3F所示的一些实施例,材料322可插层导电层303。在一些实施例中,材料322与金属320不同。
如图3G所示,进行无等离子体的蚀刻工艺以移除金属层308(图3D)与掺杂碳的金属层部分310(图3D)。在一些实施例中,
如图3G所示,进行无等离子体的蚀刻工艺以移除金属层308(图3D)与掺杂碳的金属层部分310(图3D)。在一些实施例中,无等离子体的蚀刻工艺可为湿蚀刻工艺,其可选择性移除金属层308与掺杂的金属层部分310,而实质上不影响图案化的掩模层305、导电层303与介电层301。等离子体蚀刻工艺可采用蚀刻剂如氧以蚀刻石墨烯层。然而等离子体蚀刻工艺的蚀刻剂可能损伤介电层301及/或位于介电层301中的导电结构(未图示)。因此采用无等离子体的蚀刻工艺如湿蚀刻工艺,可图案化导电层303而不损伤介电层301与介电层301中的导电结构(未图示)。无等离子体的蚀刻工艺可形成开口324于导电层303中。开口324可将导电层303分成多个部分。导电层303的每一部分可为导电结构,比如导电线路或导电通孔。在一些实施例中,导电层303的多个部分可为图2所示的导电结构304或导电结构306。开口324中可露出界面部分312。
如图3H所示,介电材料326形成于开口324之中与图案化的掩模层305之上。介电材料326与介电层301可包含相同材料,且其形成方法可为任何合适工艺如化学气相沉积、可流动的化学气相沉积、或等离子体辅助化学气相沉积。介电材料326可接触界面部分312。如图3I所示,导电结构328可形成于介电材料326之中与导电层303的一部分之上。导电结构328与导电结构304(或导电结构306)可包含相同材料。在一些实施例中,导电结构328与导电层303包括相同材料。可移除导电层303的部分上的图案化的掩模层305的部分,且导电结构328可接触导电层303的部分。
如图3I所示的一些实施例,内连线结构300包括导电层303的第一部分与导电层303的第一部分相邻的导电层303的第二部分、以及位于导电层303的第一部分与导电层303的第二部分之间的介电材料326。导电层303的第一部分可包含第一界面部分312以接触介电材料326,而导电层303的第二部分可包含第二界面部分312以接触介电材料326。导电层303的第一部分可进一步包括第三界面部分312以与第一界面部分312对向。在一些实施例中,导电层303的第一部分包括多个石墨烯层。在一些实施例中,导电层303的第一部分的第一及第三界面部分312各自包含金属320(图3E)位于相邻的石墨烯层之间。位于第一及第三界面部分312之间的导电层303的第一部分的第三部分的中心部分330,可或可不包含材料322(图3F)位于相邻的石墨烯层之间。如图3I所示,导电结构328可位于导电层303的第一部分上,而图案化的掩模层305可位于导电层303的第二部分上。介电材料326可位于图案化的掩模层305之上以及导电层303的第一部分与第二部分之间,而导电结构328可位于介电材料326中。
图4A至图4E为其他实施例中,制造内连线结构300的多种阶段的剖视图。如图4A所示,导电层303形成于介电层301上,图案化的掩模层305形成于导电层303上,而金属层308形成于导电层303与图案化的掩模层305上。如图4B所示,内连线结构300加热至低于约800℃(比如约200℃至约450℃),以形成掺杂碳的金属层部分310与界面部分312。在一些实施例中,掺杂碳的金属层部分310不延伸穿过导电层303。如此一来,掺杂碳的金属层部分310可将导电层303分成第一部分303a与位于第一部分303a上的第二部分303b。第二部分303b可包含自第一部分303a延伸的多个部分。在一些实施例中,第一部分303a可为图2所示的导电结构304,而第二部分303b可为图2所示的导电结构306。第二部分303b在一些实施例中可为导电通孔,其沿着Z轴的通孔高度可为约
Figure BDA0003631610900000111
至约
Figure BDA0003631610900000112
第二部分303b的高度可取决于金属层308中溶解的石墨烯层的量,其可由加热温度与时间控制。
如图4C所示,以无等离子体的蚀刻工艺如湿蚀刻工艺移除金属层308与掺杂碳的金属层部分310。界面部分312的侧壁与导电层303的第一部分303a的上表面可形成角度A。角度A可为约90度至约165度。如图4D所示,介电材料326形成于第一部分303a与图案化的掩模层305之上,以及导电层303的第二部分303b的相邻部分之间。在一些实施例中,介电材料326接触导电层303的第一部分303a与界面部分312。如图4E所示,导电结构328形成于介电材料326中。
如图4E所示的一些实施例中,内连线结构300包括导电层303的第一部分303a,以及导电层303的第二部分303b位于导电层303的第一部分303a上。第二部分303b包括自导电层的第一部分303a延伸的第三部分,以及与第三部分相邻的第四部分。介电材料326位于导电层303的第二部分303b的第三部分与导电层303的第二部分303b的第四部分之间。介电材料326亦位于导电层303的第一部分303a上。导电层303的第二部分303b的第三部分可包含第一界面部分312以与介电材料326接触,且导电层303的第二部分303b的第四部分可包含第二界面部分312以与介电材料326接触。导电层303的第二部分303b的第三部分可进一步包括与第一界面部分312对向的第三界面部分312。在一些实施例中,导电层303的第二部分303b的第三部分包括多个石墨烯层。在一些实施例中,导电层303的第三部分的第一及第三界面部分312各自包含金属320(图3E)位于相邻的石墨烯层之间。位于第一及第三界面部分312之间的导电层303的第二部分303b的第三部分的中心部分330,可或可不包含材料322(图3F)位于相邻的石墨烯层之间。如图4E所示,导电结构328可位于导电层303的第二部分303b的第三部分上,而图案化的掩模层305可位于导电层303的第二部分303b的第四部分上。介电材料326可位于图案化的掩模层305之上以及导电层303的第二部分303b的第三部分与第四部分之间,而导电结构328可位于介电材料326中。
在采用金属层308以溶解导电层303的一部分之后,可采用无等离子体的蚀刻工艺图案化导电层303的一或多个石墨烯层,而不损伤位于一或多个石墨烯层之下的任何介电材料或导电结构。方法可不限于后段工艺。在一些实施例中,方法可用于形成导电结构如图2所示的导电结构304及306。在一些实施例中,方法可用于形成图1A及图1B所示的装置200。在一些实施例中,方法可用于形成图1A及图1B所示的导电接点122及130。方法可用于需图案化一或多个石墨烯层的任何状况中。
本发明实施例提供内连线结构300。在一些实施例中,内连线结构300包括导电层303的第一部分与导电层303的第一部分相邻的导电层303的第二部分、以及位于导电层303的第一部分与导电层303的第二部分之间的介电材料326。导电层303的第一部分与第二部分的每一个,包括第一界面部分与第二界面部分位于导电层303的第一部分与第二部分的两侧上。第一界面部分与第二界面部分的每一个包括一或多个石墨烯层以及相邻的石墨烯层之间的金属320。金属320的形成方法可为采用金属层308以溶解导电层303的一部分。一些实施例可达一些优点。举例来说,掺杂碳的金属层部分310的形成方法,可为采用金属层308以溶解导电层303的部分。掺杂碳的金属层部分310的移除方法可为无等离子体的蚀刻工艺,其不损伤介电层301与介电层301中的导电结构。
一实施例为内连线结构,其包括导电层的第一部分,且导电层包括一或多个石墨烯层。导电层的该第一部分包括对向的第一界面部分与第二界面部分,且第一界面部分与第二界面部分的每一个包括金属位于相邻的石墨烯层之间。内连线结构还包括导电层的第二部分,与导电层的该第一部分相邻。导电层的第二部分包括对向的第三界面部分与第四界面部分,且第三界面部分与第四界面部分的每一个包括金属位于相邻的石墨烯层之间。内连线结构包括介电材料,位于导电层的第一部分与第二部分之间,且介电材料接触第一界面部分与第三界面部分。
在一些实施例中,内连线结构还包括导电结构位于该导电层的第一部分上,其中导电结构位于介电材料中。
在一些实施例中,内连线结构还包括图案化的掩模层位于导电层的第二部分上,其中介电材料位于图案化的掩模层上。
在一些实施例中,图案化的掩模层的厚度为导电层的厚度的约1%至约100%。
在一些实施例中,内连线结构还包括介电层,其中导电层的第一部分与第二部分位于介电层上。
在一些实施例中,介电材料接触介电层。
在一些实施例中,导电层的第一部分与第二部分的每一个,还包括中心部分位于第一界面部分与第二界面部分之间以及第三界面部分与第四界面部分之间。
在一些实施例中,中心部分包括材料位于相邻的石墨烯层之间。
在一些实施例中,材料与金属不同。
另一实施例为内连线结构,其包括导电层的第一部分,且导电层包括一或多个石墨烯层;导电层的第二部分,位于导电层的第一部分上,其中导电层的第二部分包括自导电层的第一部分延伸的第三部分以及自与第三部分相邻的导电层的第一部分延伸的第四部分。第三部分包括对向的第一界面部分与第二界面部分,第四部分包括对向的第三界面部分与第四界面部分,且第一界面部分、第二介电部分、第三界面部分与第四界面部分的每一个包括金属位于相邻的石墨烯层之间。内连线结构还包括介电材料,位于导电层的第二部分的第三部分与第四部分之间,且介电材料位于导电层的第一部分上并接触第一界面部分与第三界面部分。
在一些实施例中,内连线结构还包括导电结构位于导电层的第二部分的第三部分上,其中导电结构位于介电材料上。
在一些实施例中,内连线结构还包括图案化的掩模层位于导电层的第二部分的第四部分上,其中介电材料位于图案化的掩模层上。
在一些实施例中,内连线结构还包括介电层,其中导电层的第一部分位于介电层上。
在一些实施例中,导电层的第三部分与第四部分的每一个,还包括中心部分位于第一界面部分与第二界面部分之间以及第三界面部分与第四界面部分之间。
在一些实施例中,中心部分包括材料位于相邻的石墨烯层之间。
在一些实施例中,材料与金属不同。
又一实施例为内连线结构的形成方法,其包括:形成图案化的掩模层于导电层上,且导电层包括一或多层的石墨烯;形成金属层于图案化的掩模层与导电层上;加热金属层以溶解导电层的部分而形成掺杂碳的金属层部分;移除掺杂碳的金属层部分以形成一或多个开口于导电层中;以及形成介电材料于开口中。
在一些实施例中,加热金属层至约200℃至约450℃。
在一些实施例中,开口延伸穿过导电层。
在一些实施例中,一或多层的石墨烯的形成方法为直接热成长、等离子体辅助、扩散辅助、或转移工艺,且移除掺杂碳的金属层部分的方法为无等离子体的蚀刻工艺。
上述实施例的特征有利于本技术领域中技术人员理解本发明。本技术领域中技术人员应理解可采用本发明作基础,设计并变化其他工艺与结构以完成上述实施例的相同目的及/或相同优点。本技术领域中技术人员亦应理解,这些等效置换并未脱离本发明精神与范畴,并可在未脱离本发明的精神与范畴的前提下进行改变、替换、或更动。

Claims (1)

1.一种内连线结构,包括:
一导电层的一第一部分,其中该导电层包括一或多个石墨烯层,该导电层的该第一部分包括对向的一第一界面部分与一第二界面部分,且该第一界面部分与该第二界面部分的每一个包括一金属位于相邻的多个所述石墨烯层之间;
该导电层的一第二部分,与该导电层的该第一部分相邻,其中该导电层的该第二部分包括对向的一第三界面部分与一第四界面部分,且该第三界面部分与该第四界面部分的每一个包括该金属位于相邻的多个所述石墨烯层之间;以及
一介电材料,位于该导电层的该第一部分与该第二部分之间,其中该介电材料接触该第一界面部分与该第三界面部分。
CN202210492483.6A 2021-05-07 2022-05-07 内连线结构 Pending CN115084067A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/314,269 2021-05-07
US17/314,269 US11640940B2 (en) 2021-05-07 2021-05-07 Methods of forming interconnection structure including conductive graphene layers

Publications (1)

Publication Number Publication Date
CN115084067A true CN115084067A (zh) 2022-09-20

Family

ID=83247230

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202210492483.6A Pending CN115084067A (zh) 2021-05-07 2022-05-07 内连线结构

Country Status (3)

Country Link
US (2) US11640940B2 (zh)
CN (1) CN115084067A (zh)
TW (1) TW202245144A (zh)

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5395542B2 (ja) * 2009-07-13 2014-01-22 株式会社東芝 半導体装置
JP5439120B2 (ja) * 2009-11-02 2014-03-12 株式会社東芝 半導体装置およびその製造方法
JP2012080005A (ja) * 2010-10-05 2012-04-19 Toshiba Corp グラフェン配線およびその製造方法
US8716863B2 (en) * 2011-07-13 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for high performance interconnect
US9102118B2 (en) * 2011-12-05 2015-08-11 International Business Machines Corporation Forming patterned graphene layers
US8927415B2 (en) * 2011-12-09 2015-01-06 Intermolecular, Inc. Graphene barrier layers for interconnects and methods for forming the same
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
JP5972735B2 (ja) * 2012-09-21 2016-08-17 株式会社東芝 半導体装置
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
JP6083197B2 (ja) * 2012-11-07 2017-02-22 富士通株式会社 配線構造及びその製造方法
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
JP5583236B1 (ja) * 2013-03-19 2014-09-03 株式会社東芝 グラフェン配線
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US10170426B2 (en) * 2015-03-18 2019-01-01 Fujitsu Limited Manufacturing method of wiring structure and wiring structure
JP6542072B2 (ja) * 2015-08-26 2019-07-10 株式会社東芝 半導体装置およびその製造方法
US9640430B2 (en) * 2015-09-17 2017-05-02 Nxp Usa, Inc. Semiconductor device with graphene encapsulated metal and method therefor
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
KR20220113782A (ko) * 2019-12-24 2022-08-16 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 시스템

Also Published As

Publication number Publication date
TW202245144A (zh) 2022-11-16
US20230268274A1 (en) 2023-08-24
US20220359414A1 (en) 2022-11-10
US11640940B2 (en) 2023-05-02

Similar Documents

Publication Publication Date Title
CN202487556U (zh) 一种半导体结构
US11302695B2 (en) Method for forming integrated semiconductor device with 2D material layer
TWI684258B (zh) 在接觸結構上之自對準金屬線及其形成方法
US11626287B2 (en) Semiconductor device with improved contact resistance and via connectivity
US11855215B2 (en) Semiconductor device structure with high contact area
TW202137563A (zh) 積體電路裝置
US11978773B2 (en) Formation method of semiconductor device structure with semiconductor nanostructures
KR102545432B1 (ko) 인터커넥트 구조 및 방법
CN115566000A (zh) 互连结构
CN115084067A (zh) 内连线结构
CN114765129A (zh) 半导体装置结构
CN115394715A (zh) 半导体装置
CN114530429A (zh) 界面结构
TW202133274A (zh) 半導體裝置的製作方法
CN113161353A (zh) 半导体装置
US11837638B2 (en) Semiconductor device
TWI768670B (zh) 互連結構及其製造方法
US20220416035A1 (en) Structure and formation method of semiconductor device with backside contact
US20220130678A1 (en) Barrier layer for contact structures of semiconductor devices
CN114975239A (zh) 半导体装置
TW202339014A (zh) 半導體裝置及其製造方法
TW202243263A (zh) 半導體裝置
WO2023073486A1 (en) Buried power rail with robust connection to a wrap around contact
TW202236668A (zh) 半導體裝置結構
CN115566069A (zh) 半导体结构

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication