CN114975239A - 半导体装置 - Google Patents

半导体装置 Download PDF

Info

Publication number
CN114975239A
CN114975239A CN202210322264.3A CN202210322264A CN114975239A CN 114975239 A CN114975239 A CN 114975239A CN 202210322264 A CN202210322264 A CN 202210322264A CN 114975239 A CN114975239 A CN 114975239A
Authority
CN
China
Prior art keywords
layer
source
gate
dielectric layer
drain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210322264.3A
Other languages
English (en)
Inventor
张家豪
游家权
游力蓁
黄麟淯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN114975239A publication Critical patent/CN114975239A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

提供接点电阻降低的半导体装置。半导体装置包括基板,具有通道区与源极/漏极区;源极/漏极接点结构,位于源极/漏极区上;导电结构,位于源极/漏极接点结构上;层间介电层,围绕导电结构与源极/漏极接点结构;介电衬垫,位于层间介电层与导电结构之间;以及扩散阻障层,位于介电衬垫与导电结构之间。

Description

半导体装置
技术领域
本发明实施例关于半导体装置,更特别关于自接点开口的下表面移除粘着层,同时减少接点开口侧壁上的粘着层厚度的结构。
背景技术
半导体集成电路产业已经历快速成长。集成电路材料与设计的技术进展产生许多代的集成电路。在集成电路演进中,功能密度(比如单位芯片面积的内连线装置数目)通常随着几何尺寸(采用的制作工艺所能产生的最小构件或线路)缩小而增加。尺寸缩小的工艺通常有利于增加产能并降低相关成本。然而由于结构尺寸持续减少,越来越难以进行制作工艺。因此如何形成尺寸越来越小的可信半导体装置面临挑战。
发明内容
本发明一实施例关于半导体装置。半导体装置包括基板,具有通道区与源极/漏极区;源极/漏极接点结构,位于源极/漏极区上;导电结构,位于源极/漏极接点结构上;层间介电层,围绕导电结构与源极/漏极接点结构;介电衬垫,位于层间介电层与导电结构之间;以及扩散阻障层,位于介电衬垫与导电结构之间。
本发明另一实施例关于半导体装置。半导体装置包括栅极堆叠,其包括栅极位于基板的主动区的通道区上;栅极间隔物,沿着栅极堆叠的侧部;源极/漏极区,位于主动区中以与通道区相邻;源极/漏极接点结构,位于源极/漏极区上;导电结构,位于源极/漏极接点结构上并接触源极/漏极接点结构;扩散阻障层,沿着导电结构的侧部并接触源极/漏极接点结构;以及介电衬垫,沿着扩散阻障层的侧部并接触源极/漏极接点结构。
本发明又一实施例关于半导体装置的形成方法。方法包括:形成层间介电层于源极/漏极区上;形成源极/漏极接点结构于层间介电层之中与源极/漏极区之上;移除源极/漏极接点结构的一部分,以形成开口于源极/漏极接点结构的保留部分上;形成介电衬垫于开口的侧壁上,且介电衬垫的下表面接触源极/漏极接点结构的保留部分;形成粘着层于介电衬垫、源极/漏极接点结构的保留部分、与层间介电层上;蚀刻粘着层以移除层间介电层与源极/漏极接点结构的保留部分上的粘着层的部分;以及形成导电结构于开口中。
附图说明
图1是一些实施例中,制作半导体装置的方法的流程图。
图2A至2P是一些实施例中,半导体装置于图1的方法的多种制作阶段的剖视图。
附图标记说明:
W1,W2:宽度
100:方法
102,104,106,108,110,112,114,116,118,120,122,124,126,128,130,132:步骤200:半导体装置
202:基板
202A:主动区
204:隔离结构
210:牺牲栅极结构
212:牺牲栅极介电层
214:牺牲栅极导体
216:栅极间隔物
218:源极/漏极区
220:层间介电层
220a:侧壁
224:栅极空洞
226:凹陷
230:栅极堆叠
232:栅极介电层
234:栅极
236:栅极盖
242:源极/漏极接点开口
244:源极/漏极接点结构
246:开口
248:接点开口
250:介电层
252:介电衬垫
260:粘着层
262:扩散阻障层
264:导电结构
266:接点蚀刻停止层
268:接点层的介电层
272,274:通孔接点结构
具体实施方式
下述详细描述可搭配附图说明,以利理解本发明的各方面。值得注意的是,各种结构仅用于说明目的而未按比例绘制,如本业常态。实际上为了清楚说明,可任意增加或减少各种结构的尺寸。
下述内容提供的不同实施例或实例可实施本发明的不同结构。下述特定构件与排列的实施例用以简化本发明内容而非局限本发明。举例来说,形成第一构件于第二构件上的叙述包含两者直接接触的实施例,或两者之间隔有其他额外构件而非直接接触的实施例。此外,本发明的多个实例可重复采用相同标号以求简洁,但多种实施例及/或设置中具有相同标号的元件并不必然具有相同的对应关系。
此外,空间相对用语如“在…下方”、“下方”、“较低的”、“上方”、“较高的”或类似用词,用于描述附图中一些元件或结构与另一元件或结构之间的关系。这些空间相对用语包括使用中或操作中的装置的不同方向,以及附图中所描述的方向。当装置转向不同方向时(旋转90度或其他方向),则使用的空间相对形容词也将依转向后的方向来解释。
在制作集成电路时,可形成装置如场效晶体管、二极管、与电阻于基板上,且可采用一或多个金属化层使装置连接在一起。一或多个金属化层可包含通孔与内连线,以作为电性连接而内连线装置。接点可用于连接通孔与内连线至场效晶体管的单元(如源极/漏极)。
一般而言,形成接点的步骤包括形成接点开口于介电层中,并将导电金属填入接点开口。为了避免金属扩散至周围的介电层,需在沉积金属前形成粘着层于接点开口的侧壁与底部上。粘着层可限制与金属扩散有关的时间相关的介电崩溃与电子迁移,进而增加装置可信度。然而粘着层存在于接点开口的下表面(如不同层的接点之间的界面处),可能造成接点电阻增加而大幅劣化装置效能。
本发明实施例为了减少接点电阻,可在沉积粘着层之后进行蚀刻工艺,以自接点开口的下表面移除粘着层,同时减少接点开口侧壁上的粘着层厚度。蚀刻粘着层有助于降低接点电阻,进而增加装置效能。
图1是本发明多种实施例中,制作半导体装置200所用的方法100的流程图。图2A至2P是一些实施例中,半导体装置200于制造工艺的多种阶段中的剖视图。方法将搭配图2A至2I中的半导体装置200详述于下。在一些实施例中,可在方法100之前、之中、及/或之后进行额外步骤,且可置换及/或省略一些所述步骤。在一些实施例中,可添加额外结构至半导体装置200。在一些实施例中,可置换或省略下述的一些结构。本技术领域中技术人员应理解,虽然一些实施例以特定顺序进行步骤,但可由另一逻辑性的顺序进行这些步骤。
此处所述的一些实施例关于鳍状场效晶体管。鳍状场效晶体管可指任何鳍状物为主的多栅极晶体管。一些其他实施例如此处所述,关于平面场效晶体管。一些其他实施例如此处所述,关于纳米线或纳米片场效晶体管。
如图1及2A所示的一些实施例,方法100的步骤102形成牺牲栅极结构210于基板202的主动区202A上。图2A是一些实施例中,半导体装置200在形成牺牲栅极结构210于基板202的主动区202A上之后的剖视图。
在一些实施例中,基板202为基体半导体基板。基体半导体基板指的是基板的所有组成为至少一半导体材料。在一些实施例中,基体半导体基板包括半导体材料或半导体材料的堆叠,比如硅、锗、硅锗、掺杂碳的硅、碳硅锗、或III-V族半导体化合物(如砷化镓、磷化镓、磷化铟、砷化铟、锑化铟、磷砷化镓、砷化铝铟、砷化铝镓、砷化镓铟、磷化镓铟、或磷砷化镓铟)。在一些实施例中,基体半导体基板包括单晶半导体材料如单晶硅。在一些实施例中,可依设计需求掺杂基体半导体基板。在一些实施例中,基体半导体基板可掺杂p型掺质或n型掺质。用语p型指的是添加杂质至本质半导体,以产生价电子空乏。例示性的p型掺质如p型杂质可包含但不限于硼、铝、镓、或铟。n型指的是添加杂质以贡献自由电子至本质半导体。例示性的n型掺质如n型杂质可包含但不限于锑、砷、或磷。在一些实施例中,掺杂的基板202其掺质浓度可为1.0x1014原子/cm3至1.0x1017原子/cm3,但掺质浓度可较大或较小。在一些实施例中,基板202可为绝缘层上半导体基板,其包含顶部的半导体层形成余绝缘层(未图示)上。顶部的半导体层可包含上述的半导体材料如硅、锗、硅锗、掺杂碳的硅、碳化硅锗、或III-V族半导体(如砷化镓、磷化镓、磷化铟、砷化铟、锑化铟、磷砷化镓、砷化铝铟、砷化铝镓、砷化镓铟、磷化镓铟、或磷砷化镓铟)。举例来说,绝缘层可为氧化硅或类似物。绝缘层形成于基底基板上,通常形成于硅基板或玻璃基板上。
隔离结构204形成于基板202中,使主动区202A与半导体装置200的其他主动区(未图示)彼此隔离。在一些实施例中,主动区202A具有平面结构形成于基板202用于形成平面场效晶体管的上侧部分中。在一些其他实施例中,主动区202A为自基板202用于形成鳍状场效晶体管的底部向上凸起的鳍状物。鳍状物的形成方法可为微影(光刻)与蚀刻。在一些实施例中,施加光阻(光刻胶)层于基板202上,并图案化光阻层以形成图案化的光阻层于基板202的顶部上。接着将图案化的光阻层中的图案转移至基板202以形成鳍状物,且转移方法可为非等向蚀刻。在一些实施例中,图案转移所用的蚀刻工艺可包含干蚀刻如反应性离子蚀刻、等离子体蚀刻、离子束蚀刻、或激光剥蚀。在转移图案至基板202中之后,可采用光阻剥除工艺(如灰化)以移除图案化的光阻层。在一些实施例中,可采用其他方法如侧壁影像转移或定向自组装以形成鳍状物。
隔离结构204围绕主动区202A。隔离结构204的组成可为氧化硅、氮化硅、氮氧化硅、掺杂氟的硅酸盐玻璃、低介电常数的介电材料、及/或其他合适的绝缘材料。在一些实施例中,隔离结构204可为浅沟槽隔离结构。在一些实施例中,隔离结构204的形成方法可为蚀刻沟槽于基板202中,比如鳍状物形成工艺的一部分。接着可将一或多种绝缘材料填入沟槽,其可采用合适的沉积工艺如化学气相沉积、物理气相沉积、或原子层沉积。接着可进行化学机械研磨工艺,以移除多于的绝缘材料并平坦化隔离结构204的上表面。在一些实施例中,隔离结构204的形成方法可为氧化或氮化基板202的部分。在一些实施例中,隔离结构204的上表面与主动区202A的上表面共平面。在主动区202A为鳍状物的例子中,可回蚀刻沉积的绝缘材料以物理露出鳍状物的上侧部分。在一些实施例中,采用蚀刻化学剂如稀氢氟酸的湿蚀刻,可用于蚀刻绝缘材料。综上所述,隔离结构204围绕鳍状物的底部。在一些实施例中,隔离结构204可包含多层结构,比如具有一或多个热氧化物衬垫层。
每一牺牲栅极结构210包括牺牲栅极堆叠(212,214)位于主动区202A的一部分上,以及栅极间隔物216位于牺牲栅极堆叠(212,214)的侧壁上。在主动区202A为平面主动区的例子中,每一牺牲栅极结构210形成于主动区202A的顶部上。在主动区202A为鳍状物的例子中,每一牺牲栅极结构210跨过主动区202A的一部分,使牺牲栅极结构210沿着主动区202A的顶部与主动区202A的侧壁。在高温热工艺如形成源极/漏极时活化源极/漏极所用的热退火之后,可将牺牲栅极堆叠(212,214)置换为金属栅极堆叠。
牺牲栅极堆叠(212,214)包括牺牲栅极介电层212,以及牺牲栅极导体214位于牺牲栅极介电层212上。在一些实施例中,牺牲栅极堆叠(212,214)亦可包括牺牲栅极盖(未图示)于牺牲栅极导体上。在一些实施例中,可省略牺牲栅极介电层212。在一些实施例中,牺牲栅极堆叠(212,214)的形成方法为提供牺牲材料堆叠(未图示,其包含牺牲栅极介电层与牺牲栅极导体层)于基板202上,接着图案化牺牲材料堆叠。
在一些实施例中,牺牲栅极介电层包括氧化硅、氮化硅、或氮氧化硅。在一些实施例中,牺牲栅极介电层的形成方法可采用沉积工艺如化学气相沉积或物理气相沉积。在一些实施例中,牺牲栅极介电层的形成方法可为热氧化或氮化,以转换主动区202A的表面部分。
在一些实施例中,牺牲栅极导体层包括多晶硅。在一些实施例中,牺牲栅极导体层的形成方法可采用沉积工艺如化学气相沉积、等离子体辅助化学气相沉积、或物理气相沉积。
在一些实施例中,以微影与蚀刻图案化牺牲栅极材料堆叠。举例来说,施加光阻层于牺牲材料堆叠的最顶部表面上,并以曝光与显影微影图案化光阻层。光阻层中的图案依序转移至牺牲材料堆叠中,且转移方法可为至少一非等向蚀刻。非等向蚀刻可为干蚀刻如反应性离子蚀刻、湿蚀刻、或上述的组合。若转移步骤未完全消耗光阻层,则可在形成牺牲栅极堆叠之后移除残留的光阻层,且移除方法可为灰化。
在一些实施例中,栅极间隔物216可包含介电材料如氧化物、氮化物、氮氧化物、或上述的组合。在一些实施例中,栅极间隔物216包括氮化硅。在一些实施例中,栅极间隔物216的形成方法可为先沉积顺应性的栅极间隔物材料层于牺牲栅极堆叠(212,214)、主动区202A、与隔离结构204的露出表面上,接着蚀刻栅极间隔物材料层以移除栅极间隔物材料层的水平部分。在一些实施例中,栅极间隔物材料层的沉积方法可为化学气相沉积、等离子体辅助化学气相沉积、或原子层沉积。在一些实施例中,栅极间隔物材料层的蚀刻方法可为干蚀刻如反应性离子蚀刻。牺牲栅极堆叠(212,214)的侧壁上的栅极间隔物材料层的保留垂直部分,可构成栅极间隔物216。
如图1及2B所示的一些实施例,方法100的步骤104形成源极/漏极区218于牺牲栅极结构210的两侧上。图2B是一些实施例中,图2A的半导体装置200在形成源极/漏极区218于牺牲栅极结构210的两侧上之后的剖视图。
源极/漏极区218形成于牺牲栅极结构210未覆盖的主动区202A的部分中。源极/漏极区可作为场效晶体管所用的源极或漏极,端视场效晶体管的线路而定。
源极/漏极区218可为掺杂的半导体结构。在一些实施例中,源极/漏极区218包括半导体材料如硅、硅锗、碳化硅、锗、或III-V族材料(如砷化镓、磷化铟、磷化镓、或氮化镓)。源极/漏极区218可含适当导电形态的掺质。在一些实施例中,源极/漏极区218可包含n型掺质以用于形成n型场效晶体管。在一些其他实施例中,源极/漏极区218可包含p型掺质以用于形成p型场效晶体管。源极/漏极区218中的掺质浓度可为约1x1019原子/cm3至约2x1021原子/cm3,但亦可实施较小或较大的掺质浓度。
在一些实施例中,源极/漏极区218的形成方法可采用离子布植(注入)。举例来说,可布植n型掺质如磷或p型掺质如硼至牺牲栅极结构210未覆盖的主动区202A的部分中。在一些实施例中,源极/漏极区218的形成方法可采用牺牲栅极结构210作为蚀刻遮罩(掩膜)并蚀刻主动区202A以形成源极/漏极凹陷,接着以选择性外延成长工艺成长源极/漏极区218于源极/漏极凹陷中。在选择性外延成长时,沉积的半导体材料只成长于露出的半导体表面上(如源极/漏极凹陷的表面上),但不成长于介电表面上(如隔离结构204与栅极间隔物216的表面上)。在一些实施例中,源极/漏极区218的形成方法可为分子束外延。一些实施例在外延成长工艺时,可原位掺杂适当导电形态(n型或p型)的掺质至源极/漏极区218。在一些实施例中,可在外延成长工艺之后采用离子布植等工艺掺杂(如异位掺杂)源极/漏极区218。
一些实施例在形成源极/漏极区218之后,对源极/漏极区218进行退火工艺以活化源极/漏极区218中的掺质。在一些实施例中,以热退火工艺如快速热退火工艺、激光退火工艺、或炉退火工艺活化源极/漏极区218中的掺质。
如图1及2C所示的一些实施例,方法100的步骤106沉积层间介电层220于隔离结构204与源极/漏极区218上。图2C是一些实施例中,图2B的半导体装置200在沉积层间介电层220于隔离结构204与源极/漏极区218上之后的剖视图。
如图2C所示,沉积层间介电层220于隔离结构204与源极/漏极区218上,以填入牺牲栅极结构210之间的空间。在一些实施例中,层间介电层220包括氧化硅。在一些其他实施例中,层间介电层220包括低介电常数的介电材料,其介电常数低于氧化硅的介电常数。在一些实施例中,低介电常数的介电材料的介电常数为约1.2至约3.5。在一些实施例中,层间介电层220包括四乙氧基硅烷所形成的氧化硅、未掺杂的硅酸盐玻璃、掺杂的硅酸盐玻璃(如硼磷硅酸盐玻璃、氟硅酸盐玻璃、磷硅酸盐玻璃、或硼硅酸盐玻璃)、及/或其他合适的介电材料。在一些实施例中,层间介电层220的沉积方法为化学气相沉积、等离子体辅助化学气相沉积、物理气相沉积、或旋转涂布。在一些实施例中,层间介电层220的上表面高于牺牲栅极结构210的最顶部表面(如牺牲栅极导体214的上表面)。接着可由化学机械研磨等工艺平坦化层间介电层220。在平坦化之后,层间介电层220的上表面与牺牲栅极结构210的最顶部表面共平面。
如图1及2D所示的一些实施例,方法100的步骤108移除牺牲栅极堆叠(212,214)以形成栅极空洞224。图2D是一些实施例中,图2C的半导体装置200在移除牺牲栅极堆叠(212,214)以形成栅极空洞224之后的剖视图。
相对于半导体材料如主动区202A与介电材料如栅极间隔物216与层间介电层220,可采用至少一蚀刻以选择性地移除牺牲栅极堆叠(212,214)的多种构件如牺牲栅极介电层212与牺牲栅极导体214。
在一些实施例中,至少一蚀刻为干蚀刻如反应性离子蚀刻、湿蚀刻如氨蚀刻、或上述的组合。每一栅极空洞224的体积来自于移除对应的牺牲栅极堆叠(212,214)所留下的空间,且对应的栅极间隔物216的内侧侧壁横向限制栅极空洞224。在移除牺牲栅极堆叠(212,214)之后,栅极空洞224物理露出主动区202A中的通道区。
如图1及2E所示的一些实施例,方法100的步骤110形成栅极堆叠230于栅极空洞224中。图2E是一些实施例中,图2D的半导体装置200在形成栅极堆叠230于栅极空洞224中之后的剖视图。
每一栅极堆叠230包括沿着对应的栅极空洞224的侧壁与下表面的栅极介电层232,以及栅极介电层232所围绕的栅极234。在一些实施例中,栅极堆叠230可视作金属栅极堆叠。
在一些实施例中,栅极堆叠230的形成方法可为先沿着栅极空洞224的下表面与侧壁以及层间介电层220与栅极间隔物216的上表面沉积栅极介电层(未图示)。在一些实施例中,栅极介电层包括高介电常数的介电材料,其介电常数大余氧化硅的介电常数。例示性的高介电常数的介电材料包含但不限于氮化硅、氧化铪、氧化锆、氧化镧、氧化铝、氧化钛、氧化钛锶、氧化镧铝、氧化钇、或上述的组合。在一些实施例中,栅极介电层的沉积方法可采用顺应性的沉积工艺如化学气相沉积或原子层沉积。
一些实施例在沉积高介电常数的介电层之前,可形成界面介电层(未图示)于栅极空洞224的下表面上。在一些实施例中,界面介电层包括介电氧化物如氧化硅。在一些实施例中,界面介电层的形成方法为热氧化或化学氧化栅极空洞224所露出的主动区202A的表面部分。在一些实施例中,化学氧化可采用化学氧化剂如臭氧、过氧化氢、或类似物。在一些其他实施例中,界面介电层的形成方法为原子层沉积、化学气相沉积、或其他合适方法。可视情况形成界面介电层,且一些实施例可省略界面介电层。
接着沉积栅极层(未图示)于栅极介电层上,以填入每一栅极空洞224的其余体积。在一些实施例中,栅极层包括导电金属如钨、铜、钴、钛、钽、钼、钌、铂、铱、上述的硅化物(如锆硅化物、钽硅化物、钼硅化物、镍硅化物、或铂硅化物)、上述的氮化物(如氮化铪、氮化钛、氮化钽、或氮化钼)、或上述的合金。在一些实施例中,栅极层的沉积方法可为化学气相沉积、物理气相沉积、电镀、及/或其他合适工艺。
接着以平坦化工艺如化学机械研磨移除栅极空洞224之外的栅极层与高介电常数的介电层的多余部分。接着采用干蚀刻或湿蚀刻使栅极空洞224中的栅极介电层的保留部分与栅极层的保留部分凹陷。栅极空洞224中的栅极层的凹陷部分可构成栅极234,而栅极介电层的凹陷部分构成栅极介电层232。栅极介电层232与栅极234的上表面低于层间介电层220的上表面与栅极间隔物216的上表面。
在一些实施例中,进行另一回蚀刻工艺使栅极间隔物216凹陷,以形成凹陷凹陷226于栅极间隔物216上。如图2E所示,蚀刻后的栅极间隔物216的上表面低于层间介电层220的上表面。在一些实施例中,栅极间隔物216的上表面比层间介电层220的上表面低约1nm至约30nm。可视情况进行使栅极间隔物216凹陷的回蚀刻工艺。综上所述,一些实施例在形成栅极堆叠230之后,栅极间隔物216的上表面与层间介电层220的上表面维持共平面。
如图1及2F所示的一些实施例,方法100的步骤112形成栅极盖236于栅极堆叠230与栅极间隔物216上。图2F是一些实施例中,图2E的半导体装置200在形成栅极盖236于栅极堆叠230与栅极间隔物216上之后的剖视图。
栅极盖236在后续的工艺中,可用于保护下方的栅极堆叠230。在一些实施例中,栅极盖236的形成方法可为沉积栅极盖材料层于层间介电层220、栅极间隔物216、与栅极堆叠230上。栅极盖材料层可填入栅极空洞224与凹陷226。在一些实施例中,栅极盖材料层可包含介电材料如氮化硅、锆硅化物、碳氮化硅、氧化锆铝、氧化钛、氧化钽、氧化锆、氧化镧、氮化锆、碳化硅、氧化锌、碳氧化硅、氧化铪、氧化铝、碳氮氧化硅、氮氧化铝、氧化钇、碳氮化钽、或氧化硅。在一些实施例中,栅极盖材料层的材料可与层间介电层220的材料不同,因此可与层间介电层220具有较佳的蚀刻选择性。在一些实施例中,栅极盖材料层的沉积工艺可采用物理气相沉积、化学气相沉积、等离子体辅助化学气相沉积、或低压化学气相沉积。
在沉积栅极盖材料层之后,可进行平坦化工艺如化学机械研磨,使栅极盖材料层下降到层间介电层220的高度。在平坦化工艺之后,栅极盖236的上表面与层间介电层220的上表面共平面。
如图2F所示,在栅极间隔物216的上表面低于层间介电层的例子中,每一栅极盖236包括栅极间隔物216所横向围绕的下侧部分,与层间介电层220所横向围绕的上侧部分。在一些实施例中,栅极盖236的下侧部分的厚度为约1nm至约30nm,而栅极盖236的上侧部分的宽度大于下侧部分的宽度,且栅极盖236的上侧部分的厚度可为约1nm至约30nm。在栅极间隔物216的上表面与层间介电层220的上表面共平面的例子中,栅极盖236其所有厚度的宽度一致。
如图1及2G所示的一些实施例,方法100的步骤114形成源极/漏极接点开口242于源极/漏极区218上。图2G是一些实施例中,图2F的半导体装置200在形成源极/漏极接点开口242于源极/漏极区218上之后的剖视图。
源极/漏极接点开口242延伸穿过层间介电层220以露出源极/漏极区218的部分。在一些实施例中,源极/漏极接点开口242的形成方法,为采用蚀刻工艺移除层间介电层220。举例来说,形成源极/漏极接点开口242的方法包括以合适的沉积工艺如旋转涂布法施加光阻层(未图示)于层间介电层220与栅极盖236上,接着以微影法图案化光阻层以形成图案化的光阻层,并蚀刻层间介电层220以移除图案化的光阻层所露出的层间介电层220的部分。在一些实施例中,采用干蚀刻(如反应性离子蚀刻或等离子体蚀刻)蚀刻层间介电层。在一些实施例中,采用湿蚀刻以蚀刻层间介电层220。在蚀刻层间介电层220时,以图案化光阻层保护栅极盖236,使其维持完整。
在形成源极/漏极接点开口242于层间介电层220中之后,可由灰化等工艺移除图案化的光阻层。在一些其他实施例中,采用硬遮罩与第一蚀刻以自图案化的光阻层转移源极/漏极接点开口图案至硬遮罩,接着以第二蚀刻将源极/漏极接点开口图案转移至层间介电层220。
如图1及2H所示的一些实施例,方法100的步骤116形成源极/漏极接点结构244于源极/漏极接点开口242中。图2H是一些实施例中,图2G的半导体装置200在形成源极/漏极接点结构244于源极/漏极接点开口242中之后的剖视图。
源极/漏极接点结构244延伸于源极/漏极接点开口242中,并接触源极/漏极区218。源极/漏极接点结构244可包含一或多层的导电金属,比如金属元素、金属氮化物、或上述的组合。在一些实施例中,源极/漏极接点结构244包括钨、钌、钴、钛、氮化钛、钼、铜、钽、及/或其他合适材料。在一些实施例中,形成源极/漏极接点结构244的方法包括沉积导电金属于源极/漏极接点开口242中,且沉积方法可为化学气相沉积、物理气相沉积、电镀、及/或其他合适的沉积工艺。接着以平坦化工艺如化学机械研磨移除源极/漏极接点开口242之外的导电材料,使源极/漏极接点结构244的上表面与层间介电层220的上表面共平面。
一些实施例在沉积导电材料之前,沿着源极/漏极接点开口242的下表面与侧壁沉积扩散阻障层(未图示),以避免导电金属向外扩散及/或改善金属粘着性。在一些实施例中,扩散阻障层可包含氮化钛、氮化钽、氮化钨、氮化钛硅、或氮化钽硅。
如图1及2I所示的一些实施例,方法100的步骤118使源极/漏极接点结构244凹陷,以形成开口246于源极/漏极接点结构244上。图2I是一些实施例中,图2H的半导体装置200在使源极/漏极接点结构244凹陷,以形成开口246于源极/漏极接点结构244上的剖视图。
在一些实施例中,以回蚀刻工艺使源极/漏极接点结构244凹陷,其可选择性地蚀刻源极/漏极接点结构244而实质上不蚀刻层间介电层220与栅极盖236。蚀刻造成源极/漏极接点结构244的上表面低于层间介电层220的上表面,以形成开口246于个别的源极/漏极接点结构244上。在一些实施例中,源极/漏极接点结构244的上表面比层间介电层220的上表面低约1nm至约40nm。在一些实施例中,源极/漏极接点结构244的上表面低于栅极234的上表面。因此在凹陷之后,源极/漏极接点结构244的上表面靠近源极/漏极区218。
如图1及2J所示的一些实施例,方法100的步骤120沉积介电层250于开口246中。图2J是一些实施例中,图2I的半导体装置200在沉积介电层250于开口246中之后的剖视图。
沿着开口246的侧壁与下表面以及层间介电层220与栅极盖236的上表面,顺应性地沉积介电层250。因此介电层250衬垫层间介电层220的侧壁220a与源极/漏极接点结构244的上表面。在一些实施例中,介电层250可包含介电材料如氧化硅、氮化硅、碳化硅、碳氮化硅、碳氧化硅、碳氮氧化硅、锆硅化物、氧化锆铝、氧化钛、氧化钽、氧化锆、氧化镧、氮化锆、氧化锌、氧化铪、氧化铝、氮氧化铝、氧化钇、碳氮化钽、或上述的组合。在一些实施例中,介电层250的形成方法可为顺应性的沉积工艺,比如化学气相沉积或原子层沉积。
如图1及2K所示的一些实施例,方法100的步骤122形成介电衬垫252于开口246的侧壁上。图2K是一些实施例中,图2J的半导体装置200在形成介电衬垫252于开口246的侧壁上之后的剖视图。
以非等向蚀刻移除源极/漏极接点结构244、层间介电层220与栅极盖236的上表面上的介电层250的水平部分。非等向蚀刻可包含干蚀刻如反应性离子蚀刻或等离子体蚀刻、湿蚀刻、或上述的组合。在蚀刻之后,保留于开口246的侧壁上的介电层250的垂直部分构成介电衬垫252。介电衬垫252与源极/漏极接点结构244定义接点开口248,其露出个别源极/漏极接点结构244的上表面。在一些实施例中,介电衬垫252接触层间介电层220的侧壁220a。
在一些实施例中,蚀刻工艺亦移除介电层250的垂直部分,以形成锥形轮廓与圆润顶角的介电衬垫252。接点开口248的顶部的介电衬垫252的厚度减少量,大于接点开口248的底部的介电衬垫252的厚度减少量。在一些实施例中,接点开口248的顶部的介电衬垫252的厚度为约0.1nm至约5nm,而接点开口248的底部的介电衬垫252的厚度为约1nm至约6nm。接点开口248的底部的介电衬垫252的角度为70度至89度。在一些实施例中,蚀刻工艺自接点开口248的顶部完全移除介电层250的垂直部分。接点开口248的侧壁顶部无介电衬垫252。因此介电衬垫252的上表面低于层间介电层220的上表面。
如图1及2L所示的一些实施例,方法100的步骤124沉积粘着层260于接点开口248中的介电衬垫252与源极/漏极接点结构244上,以及接点开口248之外的层间介电层220与栅极盖236上。图2L是一些实施例中,图2K的半导体装置200在沉积粘着层260于接点开口248中的介电衬垫252与源极/漏极接点结构244上,以及接点开口248之外的层间介电层220与栅极盖236上之后的剖视图。
粘着层260顺应性地沉积于接点开口248中的介电衬垫252与源极/漏极接点结构244上,以极接点开口248之外的层间介电层220与栅极盖236上。因此粘着层260可衬垫介电衬垫252的侧壁与源极/漏极接点结构244的上表面。粘着层260可作为扩散阻障层,以减少或避免金属自后续形成的导电结构扩散至周围的装置结构(如层间介电层220)中。粘着层260亦可改善后续形成的导电结构与介电衬垫252的粘着性。在一些实施例中,粘着层260可包含低电阻材料如氮化钽、氮化钛、钌、或钴。在一些实施例中,粘着层260的形成方法可为顺应性的沉积工艺如化学气相沉积或原子层沉积。
如图1及2M所示的一些实施例,方法100的步骤126形成扩散阻障层262于介电衬垫252上。图2M是一些实施例中,图2L的半导体装置在形成扩散阻障层262于介电衬垫252上之后的剖视图。
在一些实施例中,扩散阻障层262的形成方法可为采用回蚀刻工艺以使粘着层260凹陷。回蚀刻工艺可移除粘着层260的水平部分,其位于源极/漏极接点结构244、层间介电层220、与栅极盖236的上表面上。在一些实施例中,回蚀刻工艺可包含干蚀刻如反应性离子蚀刻或等离子体蚀刻、湿蚀刻、或上述的组合。在蚀刻之后,保留于介电衬垫252上的粘着层260的垂直部分可构成扩散阻障层262。
在一些实施例中,回蚀刻工艺亦可薄化介电衬垫252上的粘着层260的垂直部分。如此一来,可减少扩散阻障层262的厚度并加大接点开口248的尺寸。在一些实施例中,接点开口248的顶部的扩散阻障层262的厚度减少量,大于接点开口248的底部的扩散阻障层262的厚度减少量,因此形成锥形与圆润顶角的扩散阻障层262。在一些实施例中,接点开口248的顶部的扩散阻障层262其厚度为约0.1nm至约5nm,而接点开口248的底部的扩散阻障层262其厚度为约1nm至约6nm。在一些实施例中,接点开口248的底部的扩散阻障层262的角度为70度至89度。
在一些实施例中,蚀刻工艺自接点开口248的顶部完全移除粘着层260的垂直部分。因此接点开口248的顶部的介电衬垫252的侧壁无扩散阻障层262。扩散阻障层262的上表面低于介电衬垫252的上表面。在一些实施例中,扩散阻障层262的上表面与介电衬垫252的上表面之间的高度差异为约1nm至约10nm,即扩散阻障层262的上表面比介电衬垫252的上表面低约1nm至约10nm。
如图1及2N所示的一些实施例,方法100的步骤128形成导电结构264于源极/漏极接点结构244上。图2N是一些实施例中,图2M的半导体装置200在形成导电结构264于源极/漏极接点结构244上之后的剖视图。
导电结构264延伸于接点开口248中,并接触源极/漏极接点结构244。每一导电结构264包括扩散阻障层262所横向围绕的第一部分,与介电衬垫252所横向围绕的第二部分于第一部分上。
在一些实施例中,导电结构264的形成方法可为先沉积导电材料层(未图示)以填入接点开口248的其余体积。导电材料层可进一步超填接点开口248并覆盖层间介电层220与栅极盖236的上表面。导电材料层的材料可与源极/漏极接点结构244的材料相同或不同。在一些实施例中,导电材料层包括钨、钌、钴、钛、氮化钛、钼、铜、或钽。导电材料层的沉积方法可采用任何合适工艺如化学气相沉积、物理气相沉积、或原子层沉积。由于介电衬垫252与扩散阻障层262具有圆润化的顶角,在沉积时可最小化材料累积于接点开口248的角落的现象。如此一来,可增进填隙能力。
之后可进行平坦化工艺如化学机械研磨,以自层间介电层220与栅极盖236的上表面移除多余的导电材料。在平坦化工艺之后,导电结构264的上表面可与层间介电层220的上表面共平面。在一些实施例中,导电结构264的高度为约1nm至约40nm。
在本发明实施例中,由于每一接点开口248无扩散阻障层262于顶部,每一接点开口248的顶部的导电结构264的宽度W1大于每一接点开口248的底部的导电结构264的宽度W2。
在一些实施例中,每一接点开口248的顶部的导电结构264的宽度W1可为约4nm至约20nm,而每一接点开口248的底部的导电结构264的宽度W2可为约6nm至约25nm。与所有高度的宽度一致的现有导电结构相较,接点开口248的顶部的导电结构264的宽度较大可提供较大的接点面积,其有利于降低导电结构264与后续形成其上的通孔接点结构之间的接点电阻。
在本发明实施例中,由于蚀刻粘着层260以自接点开口248的下表面移除粘着层260,因此没有粘着层260存在于导电结构264与源极/漏极接点结构244之间的界面。如此一来,可降低导电结构264与源极/漏极接点结构之间的接点电阻,有助于改善装置效能。
如图1及2O所示的一些实施例,方法100的步骤130形成接点蚀刻停止层266与接点层的介电层268。图2O是一些实施例中,图2N的半导体装置在形成接点蚀刻停止层266与接点层的介电层268之后的剖视图。
接点蚀刻停止层266沉积于层间介电层220、栅极盖236、介电衬垫252、与导电结构264上。在一些实施例中,接点蚀刻停止层266包括合适的介电材料如氧化硅、氮化硅、碳化硅、氮氧化硅、碳氧化硅、碳氮化硅、碳氮氧化硅、氧化铝、氮氧化铝、氧化锆、氮化锆、或上述的组合,且其沉积方法可采用化学气相沉积、物理气相沉积、等离子体辅助化学气相沉积、或类似方法。在一些实施例中,接点蚀刻停止层266的材料与栅极盖236的材料可相同。举例来说,当栅极盖236的组成为氮化硅时,接点蚀刻停止层266的组成亦为氮化硅。在一些实施例中,接点蚀刻停止层266的厚度可为约5nm至约50nm。
接点层的介电层268沉积于接点蚀刻停止层266上。在一些实施例中,接点层的介电层268包括氧化硅。在一些其他实施例中,接点层的介电层268包括的低介电常数的介电材料可与层间介电层220相同或不同。在一些实施例中,接点层的介电层268包括四乙氧基硅烷所形成的氧化硅、未掺杂的硅酸盐玻璃、掺杂的硅酸盐玻璃(如硼磷硅酸盐玻璃、氟硅酸盐玻璃、磷硅酸盐玻璃、或硼硅酸盐玻璃)、及/或其他合适的介电材料。在一些实施例中,接点层的介电层268的沉积方法可为化学气相沉积、等离子体辅助化学气相沉积、物理气相沉积、或旋转涂布。在一些实施例中,可由平坦化工艺或凹陷工艺平坦化接点层的介电层268,以提供平坦的上表面。在一些实施例中,采用化学机械研磨工艺平坦化接点层的介电层的表面。
如图1及2P所示的一些实施例,方法100的步骤132形成多个通孔接点结构272及274。图2P是一些实施例中,图2O的半导体装置200在形成多个通孔接点结构272及274之后的剖视图。
通孔接点结构272形成于接点层的介电层268、接点蚀刻停止层266、与栅极盖236中,并接触栅极234。通孔接点结构274形成于接点层的介电层268与接点蚀刻停止层266中,并接触导电结构264。
为了形成通孔接点结构272及274,可进行至少一非等向蚀刻工艺以形成第一通孔开口(未图示)延伸穿过接点层的介电层268、接点蚀刻停止层266、与栅极盖236而露出栅极234的一部分,并形成第二通孔开口(未图示)延伸穿过接点层的介电层268与接点蚀刻停止层266而露出导电结构264的一部分。至少一非等向蚀刻工艺可包含干蚀刻如反应性离子蚀刻或等离子体蚀刻、湿蚀刻、或上述的组合。
之后可沉积导电材料层于接点层的介电层之上与通孔接点开口之中。导电材料层的材料,可与源极/漏极接点结构244的材料以及通孔接点结构272及274的材料相同或不同。在一些实施例中,导电材料层可包含钨、钌、钴、钛、氮化钛、钼、铜、或钽。举例来说,导电材料层的形成方法可为化学气相沉积、物理气相沉积、或原子层沉积。可持续沉积工艺直到导电材料层填入通孔接点开口并延伸于接点层的介电层268上。举例来说,可采用化学机械研磨等工艺移除导电通孔开口之外的导电材料层的多余部分,以形成通孔接点结构272及274。在一些实施例中,当第一金属层采用铜或铜合金时,在形成第二金属层之前可先视情况形成镀晶种层(未图示)于第二衬垫层上。在一些实施例中,视情况形成的镀晶种层的形成方法可为沉积工艺如化学气相沉积、等离子体辅助化学气相沉积、原子层沉积、或物理气相沉积。
本发明一实施例关于半导体装置。半导体装置包括基板,具有通道区与源极/漏极区;源极/漏极接点结构,位于源极/漏极区上;导电结构,位于源极/漏极接点结构上;层间介电层,围绕导电结构与源极/漏极接点结构;介电衬垫,位于层间介电层与导电结构之间;以及扩散阻障层,位于介电衬垫与导电结构之间。
在一些实施例中,扩散阻障层为锥形,使接触源极/漏极接点结构的表面的扩散阻障层的下侧末端的厚度,大于扩散阻障层的上侧末端的厚度。
在一些实施例中,导电结构包括第一部分与第二部分位于第一部分上,其中扩散阻障层位于第一部分的侧壁上,而介电衬垫位于第二部分的侧壁上。
在一些实施例中,导电结构的第二部分的宽度大于导电结构的第一部分的宽度。
在一些实施例中,源极/漏极接点结构的上表面接触导电结构。
在一些实施例中,扩散阻障层的上表面比介电衬垫的上表面低。
在一些实施例中,半导体装置还包括栅极堆叠于通道区上,其中栅极堆叠包括栅极介电层以接触通道区,以及栅极介电层所围绕的栅极。
在一些实施例中,源极/漏极接点结构的上表面低于栅极的上表面。
在一些实施例中,半导体装置还包括栅极间隔物于栅极堆叠的侧壁上。
本发明另一实施例关于半导体装置。半导体装置包括栅极堆叠,其包括栅极位于基板的主动区的通道区上;栅极间隔物,沿着栅极堆叠的侧部;源极/漏极区,位于主动区中以与通道区相邻;源极/漏极接点结构,位于源极/漏极区上;导电结构,位于源极/漏极接点结构上并接触源极/漏极接点结构;扩散阻障层,沿着导电结构的侧部并接触源极/漏极接点结构;以及介电衬垫,沿着扩散阻障层的侧部并接触源极/漏极接点结构。
在一些实施例中,半导体装置还包括第一通孔接点结构位于栅极上并接触栅极,以及第二通孔接点结构位于导电结构上并接触导电结构。
在一些实施例中,半导体装置还包括接点层的介电层以围绕第一通孔接点结构与第二通孔接点结构。
在一些实施例中,扩散阻障层的上表面比介电衬垫的上表面低约1nm至约10nm。
在一些实施例中,扩散阻障层具有圆润化的顶角。
在一些实施例中,接触源极/漏极接点结构的扩散阻障层的下侧末端的厚度,大于扩散阻障层的上侧末端的厚度。
在一些实施例中,接触源极/漏极接点结构的介电衬垫的下侧末端的厚度,大于介电衬垫的上侧末端的厚度。
本发明又一实施例关于半导体装置的形成方法。方法包括:形成层间介电层于源极/漏极区上;形成源极/漏极接点结构于层间介电层之中与源极/漏极区之上;移除源极/漏极接点结构的一部分,以形成开口于源极/漏极接点结构的保留部分上;形成介电衬垫于开口的侧壁上,且介电衬垫的下表面接触源极/漏极接点结构的保留部分;形成粘着层于介电衬垫、源极/漏极接点结构的保留部分、与层间介电层上;蚀刻粘着层以移除层间介电层与源极/漏极接点结构的保留部分上的粘着层的部分;以及形成导电结构于开口中。
在一些实施例中,蚀刻粘着层的步骤更蚀刻介电衬垫上的粘着层的部分。
在一些实施例中,蚀刻介电衬垫上的粘着层的部分,可自远离源极/漏极接点结构的介电层的上侧末端移除粘着层。
在一些实施例中,形成介电衬垫的步骤包括:形成介电层于源极/漏极接点结构的保留部分上并沿着开口的侧壁;以及移除源极/漏极接点结构的保留部分上的介电层的一部分。
上述实施例的特征有利于本技术领域中技术人员理解本发明。本技术领域中技术人员应理解可采用本发明作基础,设计并变化其他工艺与结构以完成上述实施例的相同目的及/或相同优点。本技术领域中技术人员亦应理解,这些等效置换并未脱离本发明构思与范围,并可在未脱离本发明的构思与范围的前提下进行改变、替换、或变动。

Claims (1)

1.一种半导体装置,包括:
一基板,具有一通道区与一源极/漏极区;
一源极/漏极接点结构,位于该源极/漏极区上;
一导电结构,位于该源极/漏极接点结构上;
一层间介电层,围绕该导电结构与该源极/漏极接点结构;
一介电衬垫,位于该层间介电层与该导电结构之间;以及
一扩散阻障层,位于该介电衬垫与该导电结构之间。
CN202210322264.3A 2021-04-30 2022-03-29 半导体装置 Pending CN114975239A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163182483P 2021-04-30 2021-04-30
US63/182,483 2021-04-30
US17/477,333 US20220352015A1 (en) 2021-04-30 2021-09-16 Glue layer etching for improving device performance and providing contact isolation
US17/477,333 2021-09-16

Publications (1)

Publication Number Publication Date
CN114975239A true CN114975239A (zh) 2022-08-30

Family

ID=82976227

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202210322264.3A Pending CN114975239A (zh) 2021-04-30 2022-03-29 半导体装置

Country Status (3)

Country Link
US (1) US20220352015A1 (zh)
CN (1) CN114975239A (zh)
TW (1) TW202245137A (zh)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9484255B1 (en) * 2015-11-03 2016-11-01 International Business Machines Corporation Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts
US20170338321A1 (en) * 2016-05-18 2017-11-23 Newport Fab, LLC dba Jazz Semiconductor, Inc. Nickel silicide implementation for silicon-on-insulator (soi) radio frequency (rf) switch technology
KR20210024367A (ko) * 2019-08-23 2021-03-05 삼성전자주식회사 반도체 소자
KR20220031799A (ko) * 2020-09-03 2022-03-14 삼성전자주식회사 반도체 소자

Also Published As

Publication number Publication date
TW202245137A (zh) 2022-11-16
US20220352015A1 (en) 2022-11-03

Similar Documents

Publication Publication Date Title
CN106653847B (zh) 在半导体器件中制造自对准接触件的方法
US20220130823A1 (en) Backside power rail and methods of forming the same
CN111092122A (zh) 半导体结构的形成方法
CN112530904A (zh) 接触结构及其形成方法
CN106531805B (zh) 互连结构及其制造方法以及使用互连结构的半导体器件
CN106098554B (zh) 栅极上的缓冲层及其形成方法
KR102418726B1 (ko) 반도체 디바이스 및 방법
US11557510B2 (en) Spacers for semiconductor devices including backside power rails
US20240186179A1 (en) Methods of Forming Spacers for Semiconductor Devices Including Backside Power Rails
US20230387228A1 (en) Contact plug structure of semiconductor device and method of forming same
US20240096897A1 (en) Transistor isolation regions and methods of forming the same
TWI808374B (zh) 半導體裝置及其形成方法
KR102515314B1 (ko) 반도체 디바이스 및 방법
US10985053B2 (en) Contact plugs and methods of forming same
US11923432B2 (en) Semiconductor device and method
KR20190003327A (ko) 구성된 프로파일을 갖는 라이너층을 구비한 반도체 디바이스 및 그 제조방법
KR102545432B1 (ko) 인터커넥트 구조 및 방법
CN114530429A (zh) 界面结构
TW202236392A (zh) 形成半導體裝置的方法
JP2022027621A (ja) 半導体デバイス構造及びその形成方法
CN113161287A (zh) 互连结构及其形成方法
CN114975239A (zh) 半导体装置
CN113257814A (zh) 形成横向蚀刻间隔物的半导体结构
US20230317469A1 (en) Semiconductor Device and Methods of Forming the Same
US11978676B2 (en) Semiconductor structure and method of forming the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication