CN114807895A - 化学气相沉积设备 - Google Patents

化学气相沉积设备 Download PDF

Info

Publication number
CN114807895A
CN114807895A CN202210522180.4A CN202210522180A CN114807895A CN 114807895 A CN114807895 A CN 114807895A CN 202210522180 A CN202210522180 A CN 202210522180A CN 114807895 A CN114807895 A CN 114807895A
Authority
CN
China
Prior art keywords
chemical vapor
conductive plate
vapor deposition
deposition apparatus
cooling water
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210522180.4A
Other languages
English (en)
Inventor
朴钟勋
郑石源
朱儇佑
崔宰赫
闵庚柱
朴元雄
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Display Co Ltd
Original Assignee
Samsung Display Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Display Co Ltd filed Critical Samsung Display Co Ltd
Publication of CN114807895A publication Critical patent/CN114807895A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/80Constructional details
    • H10K59/87Passivation; Containers; Encapsulations
    • H10K59/873Encapsulations
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/10Deposition of organic active material
    • H10K71/16Deposition of organic active material using physical vapour deposition [PVD], e.g. vacuum deposition or sputtering
    • H10K71/164Deposition of organic active material using physical vapour deposition [PVD], e.g. vacuum deposition or sputtering using vacuum deposition

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electroluminescent Light Sources (AREA)

Abstract

提供了一种化学气相沉积设备。所述化学气相沉积设备包括:室;基座,设置在室中,并支撑具有有机发光二极管的基底;背板,设置在基座上方,并与基座分隔开预定距离;以及扩散器,设置在背板与基座之间,并将沉积气体提供到基底。背板包括:导电板;冷却水管,嵌入导电板中,并且被构造为承载冷却水;以及绝缘口,设置在导电板上,并包括与冷却水管的入口和出口对准的连接管。

Description

化学气相沉积设备
本申请是于2018年6月22日提交到国家知识产权局的发明名称为“化学气相沉积设备和利用其制造显示设备的方法”的第201810650227.9号中国专利申请的分案申请。
技术领域
发明的实施例涉及一种化学气相沉积设备和利用其制造显示设备的方法,更具体地,涉及一种利用等离子体的化学气相沉积设备和利用其制造显示设备的方法。
背景技术
显示设备的制造工艺包括在基底的表面上形成薄层的沉积工艺、光刻工艺和蚀刻工艺。例如,沉积工艺包括溅射沉积工艺和化学气相沉积(CVD)工艺。溅射沉积工艺是薄层颗粒与基底直接碰撞并直接吸附到基底上的物理沉积工艺。
在化学气相沉积工艺中,可以在基底上方引起自由基的化学反应,并且反应产物的薄层颗粒可以落到并吸附到基底上。具体地,利用富含自由基和高能量的等离子体的等离子体化学气相沉积工艺可以用作化学气相沉积工艺。
发明内容
发明的实施例可以提供一种能够防止施加到背板的射频(RF)电力泄漏的化学气相沉积设备。
发明的实施例也可以提供一种能够在基底上均匀地形成无机封装层的制造显示设备的方法。
在发明的一方面中,化学气相沉积设备可以包括室、基座、背板、扩散器和绝缘体。
基座、背板、扩散器和绝缘体可以设置在室中。室中的温度可以在80摄氏度至100摄氏度的范围内。
基座可以支撑具有有机发光二极管的基底。
背板可以设置在基座上方,并可以与基座分隔开预定距离。背板可以接收频率为13.56MHz或更大的电力。
扩散器可以设置在背板与基座之间,并可以将沉积气体提供到基底。沉积气体可以包括甲硅烷(SiH4)、氨(NH3)、氢(H2)、一氧化二氮(N2O)和氮(N2)中的至少一种。沉积气体还可以包括惰性气体。
绝缘体可以包括第一绝缘体和与第一绝缘体组装在一起的第二绝缘体。
第一绝缘体可以包括第一部分和第二部分,第一部分覆盖背板的顶表面,第二部分与第一部分组装在一起并覆盖背板的侧壁。
第一部分和第二部分中的每个可以包括多个组装的块。第一部分可以完全覆盖背板的顶表面。
第一绝缘体可以包括聚四氟乙烯(PTFE)、聚氯三氟乙烯(PCTFE)、聚偏二氟乙烯(PVDF)和聚氟乙烯(PVF)中的至少一种。
第二绝缘体可以连接到室和扩散器。第二绝缘体可以具有暴露扩散器的框架形状,并可以包括多个组装的块。
第二绝缘体可以包括陶瓷,并可以使扩散器与室绝缘。
背板可以包括导电板、嵌入导电板中并且冷却水经其流过的冷却水管以及绝缘口。
绝缘口可以设置在导电板的顶表面上,并可以包括与冷却水管的入口和出口对准的连接管。
绝缘口可以包括塑料,绝缘口的至少一部分可以被第一部分围绕。
背板还可以包括设置在绝缘口上的供给管和排出管。供给管可以连接到连接管中的一个,排出管可以连接到连接管中的另一个。
在发明的另一方面中,制造显示设备的方法可以包括:在设置于第一室中的基座上准备具有有机发光二极管的基底;通过向设置于基座上方的背板施加电力来在基座与背板之间形成等离子体区,背板的顶表面和侧壁被绝缘体覆盖;以及将沉积气体提供到等离子体区中以在有机发光二极管上形成第一无机薄层。
在形成等离子体区的步骤中,可以将具有13.56MHz或更大的频率的电力施加到背板。
该方法还可以包括在第一无机薄层上形成有机薄层以及在有机薄层上形成第二无机薄层。
可以在第一室中形成第一无机薄层和第二无机薄层,可以在与第一室不同的第二室中形成有机薄层。
根据发明的实施例,可以防止从背板的电力泄漏以在室中形成均匀的等离子体。因此,可以减少工艺时间,并且可以改善工艺可靠性。
附图说明
通过参照附图进一步详细地描述本发明的示例性实施例,本发明的以上和其它的方面和特征将变得更加明显,在附图中:
图1是示出根据发明的实施例的化学气相沉积设备的示意性剖视图。
图2是示出根据发明的实施例的化学气相沉积设备的一部分的分解透视图。
图3是示出根据发明的实施例的背板的透视图。
图4是图3的区域AA的放大的分解透视图。
图5是沿图3的线I-I'截取的剖视图。
图6是示出根据发明的实施例的第一绝缘体的分解透视图。
图7是图6的区域BB的放大的内部透视图。
图8是示出根据发明的实施例的第二绝缘体的透视图。
图9是沿图8的线II-II'截取的剖视图。
图10是示出根据发明的实施例的显示设备的剖视图。
具体实施方式
现在将在下文中参照示出了各种实施例的附图来更加充分地描述发明。然而,本发明可以以许多不同的形式来实施,并且不应被解释为限于在此阐述的实施例。相反,提供这些实施例使得本公开将是彻底的和完整的,并将向本领域技术人员充分地传达发明的范围。同样的附图标记始终指同样的元件。
将理解的是,当诸如层、区域或基底的元件被称作“在”另一元件“上”时,该元件可以直接在所述另一元件上,或者可以存在中间元件。相反,术语“直接地”意味着不存在中间元件。如在此使用的,术语“和/或”包括一个或更多个相关所列项的任意组合和所有组合。在此使用的术语仅是出于描述具体实施例的目的,而不是意图成为限制。如在此使用的,除非上下文另外明确指出,否则单数形式“一个”、“一种”和“所述(该)”意图包括包含“……中的至少一个(种/者)”的复数形式。“或者”意味着“和/或”。还将理解的是,当在本说明书中使用术语“包括”或“包含”和/或其变型时,说明存在陈述的特征、区域、整体、步骤、操作、元件和/或组件,但是不排除存在或添加一个或更多个其它特征、区域、整体、步骤、操作、元件、组件和/或它们的组。
为了易于描述,在此可以使用诸如“在……之下”、“在……下方”、“下面的”、“在……上方”、“上面的”等的空间相对术语来描述如图中所示出的一个元件或特征与另外的元件或特征的关系。将理解的是,除了图中描绘的方位之外,空间相对术语意图包含装置在使用或操作中的不同方位。例如,如果图中的装置被翻转,则被描述为“在”其它元件或特征“下方”或“之下”的元件随后将被定位为“在”所述其它元件或特征“上方”。因此,示例性术语“在……下方”可以包含上方和下方两种方位。装置可以被另外定位(旋转90度或在其它方位),并且相应地解释在此使用的空间相对描述语。将理解的是,尽管在此可以使用术语第一、第二等来描述各种元件、组件、区域、层和/或部分,但是这些元件、组件、区域、层和/或部分不应受这些术语限制。这些术语仅用来将一个元件、组件、区域、层或部分与另一元件、组件、区域、层或部分区分开。因此,在不脱离这里的教导的情况下,以下讨论的第一元件、组件、区域、层或部分可以被命名为第二元件、组件、区域、层或部分。如在此使用的“大约”或“近似”包括陈述的值,并且意味着:考虑到所讨论的测量和与特定量的测量相关的误差(即,测量系统的局限性),在由本领域普通技术人员所确定的特定值的可接受偏差范围之内。
在此参照作为理想的示例性图示的剖面图示和/或平面图示来描述示例性实施例。在图中,为了清楚,夸大了层和区域的厚度。因此,将预计出现例如由制造技术和/或公差引起的图示的形状的变化。因此,示例性实施例不应被解释为局限于在此示出的区域的形状,而将包括例如由制造引起的形状的偏差。例如,示出为矩形的蚀刻区域将通常具有圆的或弯曲的特征。因此,图中示出的区域实际上是示意性的,它们的形状并不意图示出装置的区域的实际形状,也不意图限制示例性实施例的范围。
图1是示出根据发明的实施例的化学气相沉积设备的示意性剖视图。
根据发明的实施例,化学气相沉积设备CVD可以被提供为等离子体增强化学气相沉积(PECVD)设备。化学气相沉积设备CVD可以用在用于在基底T上制造集成电路装置、显示设备或太阳能电池的半导体制造工艺中。具体地,可以使用根据发明的化学气相沉积设备CVD来在具有有机发光二极管的基底T上沉积无机薄层。
参照图1,化学气相沉积设备CVD可以包括室CB、基座SP、扩散器GD、背板BP、绝缘体IS、气体注入部GI和气体排出部GEP。
室CB包括顶表面US、底表面DS以及连接顶表面US和底表面DS的侧壁SW。由顶表面US、底表面DS和侧壁SW围绕的内部空间可以限定在室CB中,并且对于化学气相沉积设备CVD的操作所必需的组件可以设置在室CB的内部空间中。
具体地,当操作化学气相沉积设备CVD时,室CB的内部空间可以与外部密封开。在此情况下,气体注入部GI和气体排出部GEP可以阻挡外部空气的流入。另外,尽管未在附图中示出,但是室CB的一部分可以打开和关闭以转移基底T。在此情况下,室CB的侧壁SW的一部分可以打开和关闭。
室CB可以包括导电材料。例如,室CB可以包括铝、因科镍合金和哈斯特洛伊合金中的至少一种。室CB的一部分可以电连接到外部的接地端子GC。
在发明的实施例中,可以在低温下执行在具有有机发光二极管的基底T上形成无机薄层的工艺。例如,室CB的内部空间可以在该工艺中维持在80摄氏度至100摄氏度的温度。
基座SP可以支撑基底T。在发明的实施例中,有机发光二极管可以设置在基底T上。基座SP可以包括导电金属材料。
基座SP可以包括:第一基座SP1,支撑基底T;以及第二基座SP2,连接到第一基座SP1并穿过室CB的底表面DS。基底T可以设置在第一基座SP1的顶表面上。第一基座SP1和第二基座SP2可以分开设置或者可以彼此一体地形成为单个整体。第一基座SP1和第二基座SP2的形状可以根据包括在化学气相沉积设备CVD中的组件进行各种修改。
第一基座SP1可以具有用于支撑基底T的四边形或圆形的板形状。尽管未在附图中示出,但是基座SP可以包括能够加热基底T的加热线和/或能够冷却基底T的冷却线。加热线和/或冷却线可以嵌入第一基座SP1中。
第二基座SP2可以连接到第一基座SP1的中心区域以支撑第一基座SP1。第二基座SP2可以具有圆形或四边形的柱。
具体地,第二基座SP2可以竖直地上升或下降以将基底T定位到工艺位置。在此情况下,第一基座SP1可以通过第二基座SP2的移动而与第二基座SP2在相同的方向上移动。例如,当基底T从外部空间通过室CB的侧壁SW转移到室CB的内部空间中时,第二基座SP2可以竖直地上升。因此,基底T可以设置在第一基座SP1上。之后,第二基座SP2可以下降以将基底T定位到工艺位置。
基座SP还可以包括用于固定基底T的侧壁的边缘框架EF。边缘框架EF可以被定位为覆盖基底T的边缘。
基座SP可以连接到接地端子GC。在此情况下,第二基座SP2可以结合到室CB的底表面DS以电连接到底表面DS。
扩散器GD可以设置在基底T上方,并可以设置在基座SP与背板BP之间。扩散器GD可以连接到背板BP。尽管未在附图中示出,但是扩散器GD的两个端部可以通过螺钉连接到背板BP。然而,发明的实施例不限于此。在另一实施例中,扩散器GD可以连接到支架(bracket),并可以通过支架固定到背板BP。
向其中供应沉积气体EG的特定空间A可以限定在扩散器GD与背板BP之间。从气体注入部GI注入的沉积气体EG可以提供到特定空间A中。
扩散器GD可以包括铝、因科镍合金和哈斯特洛伊合金中的至少一种的金属材料。
扩散器GD可以将沉积气体EG传输到基底T。多个通孔D-OP可以限定在扩散器GD中,沉积气体EG可以通过通孔D-OP被均匀地传输到基底T。
沉积气体EG可以包括反应气体和稳定气体,所述反应气体用作用于在具有有机发光二极管的基底T上沉积无机薄层的沉积源,所述稳定气体用于调节室CB中的压力。
在本实施例中,反应气体可以包括用于沉积氮化硅层的甲硅烷(SiH4)、氨(NH3)和氮(N2)的混合气体或者用于沉积氮氧化硅层的甲硅烷(SiH4)、一氧化二氮(N2O)、氨(NH3)和氢(H2)的混合气体。稳定气体可以包括惰性气体。
背板BP可以设置在基座SP上方,并可以与基座SP分隔开预定距离。背板BP可以包括铝、因科镍合金和哈斯特洛伊合金中的至少一种的金属材料。
背板BP可以连接到电源部PW。因此,背板BP可以接收从电源部PW供应的电力。电源部PW可以供应13.56MHz或更大的射频电力(RF电力),因此,背板BP可以接收RF电力。
背板BP电连接到扩散器GD。施加到背板BP的RF电力可以传输到连接到背板BP的扩散器GD。
通过施加到背板BP的RF电力,可以在扩散器GD与基座SP之间形成等离子体。沉积气体EG可以通过背板BP与基座SP之间的电势差而被激发成等离子体。因此,自由基和高能量的等离子体的化学反应的产物可以落到并吸附到基底T的表面,因此,薄层可以沉积在基底T的表面上。
施加到背板BP的RF电力可以传输到扩散器GD,传输到扩散器GD的RF电力可以传输到基座SP。传输到基座SP的RF电力可以传输到室CB。传输到室CB的RF电力可以传输到接地端子GC。因此,施加到背板BP的RF电力不会完全地传输到扩散器GD和基座SP,而是会经由室CB泄漏。
根据本实施例,绝缘体IS可以防止施加到背板BP的RF电力经由室CB而泄漏。随后将对此进行更加详细地描述。
气体注入部GI可以设置在室CB的顶表面US处。气体注入部GI可以穿过室CB的顶表面US以连接到特定空间A。
气体排出部GEP可以设置在室CB的底表面DS处。气体排出部GEP可以穿过室CB的底表面DS,并可以将室CB的内部空间中提供的气体排出到室CB的外部。
图2是示出根据发明的实施例的化学气相沉积设备的一部分的分解透视图,图3是示出根据发明的实施例的背板的透视图。图4是图3的区域AA的放大的分解透视图,图5是沿图3的线I-I'截取的剖视图。在下文中,将参照附图更加详细地描述背板BP。
参照图1和图2,背板BP可以包括导电板PL、冷却水管CP和绝缘部ISP。
导电板PL可以包括第一导电板PL1和设置在第一导电板PL1下方的第二导电板PL2。第一导电板PL1和第二导电板PL2可以彼此分开设置或者可以彼此一体地形成为单个整体。
第一导电板PL1和第二导电板PL2中的每个可以具有四边形板形状。在本实施例中,第二导电板PL2的面积可以小于第一导电板PL1的面积。然而,发明的实施例不限于此。
冷却水管CP可以嵌入第一导电板PL1中。因此,第一导电板PL1的厚度可以大于冷却水管CP的直径。在本实施例中,可以向冷却水管CP供应冷却水以调节背板BP的温度和连接到背板BP的扩散器GD的温度。因此,能够使因加热而导致的背板BP和扩散器GD的损坏最小化。
在本实施例中,冷却水管CP嵌入第一导电板PL1中。然而,发明的实施例不限于此。在另一实施例中,冷却水管CP可以嵌入第二导电板PL2中。在此情况下,第二导电板PL2的厚度可以大于冷却水管CP的直径。
参照图1至图5,冷却水管CP可以具有经其注入冷却水的入口CP-I和从其排出冷却水的出口CP-O。冷却水管CP的入口CP-I可以是冷却水管CP的一端,冷却水管CP的出口CP-O可以是冷却水管CP的与所述一端相对的另一端。
绝缘部ISP可以设置在第一导电板PL1上,以将冷却水供应到冷却水管CP中并且/或者以将冷却水从冷却水管CP排出。绝缘部ISP可以包括绝缘口PT1和导电口PT2。
绝缘口PT1可以固定在第一导电板PL1的顶表面PL1-U上。绝缘口PT1可以包括与冷却水管CP的入口CP-I和出口CP-O对准的连接管。连接管可以包括连接到冷却水管CP的入口CP-I的第一连接管CL1以及连接到冷却水管CP的出口CP-O的第二连接管CL2。
绝缘口PT1可以包括塑料。因此,绝缘口PT1可以使第一导电板PL1和导电口PT2彼此绝缘。在本实施例中,由于绝缘口PT1设置在第一导电板PL1的顶表面PL1-U上,因此,能够防止施加到背板BP的RF电力经由导电口PT2泄漏。
导电口PT2可以包括供给管SPP、排出管EP和结合部分SEP。
供给管SPP可以定位在冷却水供应部(未示出)与绝缘口PT1的第一连接管CL1之间,并可以将从冷却水供应部提供的冷却水通过绝缘口PT1转移到冷却水管CP。
排出管EP可以定位在冷却水供应部(未示出)与绝缘口PT1的第二连接管CL2之间,并可以将通过冷却水管CP和绝缘口PT1排出的冷却水排出到室CB的外部。
供给管SPP和排出管EP可以固定在结合部分SEP处,并可以结合到绝缘口PT1。供给管SPP和排出管EP可以彼此分隔开特定距离,并可以穿过结合部分SEP。在本实施例中,供给管SPP、排出管EP和结合部分SEP可以包括金属材料。
在本实施例中,绝缘部ISP可以防止施加到背板BP的RF电力泄漏,因此,等离子体可以均匀地形成在室CB中。因此,可以减少工艺时间,并且可以改善工艺可靠性。
图6是示出根据发明的实施例的第一绝缘体的分解透视图,图7是图6的区域BB的放大的内部透视图。图8是示出根据发明的实施例的第二绝缘体的透视图,图9是沿图8的线II-II'截取的剖视图。在下文中,将参照附图描述根据发明的实施例的绝缘体IS。
参照图1和图2,绝缘体IS可以使背板BP和扩散器GD与室CB绝缘。
绝缘体IS可以包括第一绝缘体IS1和第二绝缘体IS2。
第一绝缘体IS1可以包括聚四氟乙烯(PTFE)、聚氯三氟乙烯(PCTFE)、聚偏二氟乙烯(PVDF)和聚氟乙烯(PVF)中的至少一种。
第一绝缘体IS1可以包括覆盖背板BP的顶表面的第一部分P1和覆盖背板BP的侧壁的第二部分P2。
第一部分P1可以覆盖第一导电板PL1的顶表面PL1-U。第一部分P1可以完全覆盖第一导电板PL1的顶表面PL1-U。在本实施例中,第一部分P1可以覆盖第一导电板PL1的顶表面PL1-U的除了其上定位有绝缘部ISP的区域之外的剩余的部分。
在本实施例中,孔HO可以在第一部分P1中设置在与绝缘部ISP对应的位置处。绝缘部ISP可以穿过孔HO,并可以与第一部分P1设置在同一平面上。绝缘部ISP的穿过孔HO的至少一部分可以被第一部分P1围绕。在本实施例中,绝缘部ISP的绝缘口PT1的至少一部分可以被第一部分P1围绕,例如绝缘部ISP的绝缘口PT1可以完全被第一部分P1围绕。
由于第一部分P1完全覆盖背板BP的顶表面,因此能够防止施加到背板BP的RF电力经由第一导电板PL1的顶表面PL1-U泄漏到室CB。
参照图6,突起PR可以设置在第一部分P1的边缘处,并可以与第二部分P2组装在一起。突起PR可以以多个设置,突起PR可以沿第一部分P1的边缘彼此分隔开特定间距。
第一部分P1可以包括多个组装的块P1-B。多个块P1-B中的组装到彼此的两个块A1和A2中的每个可以具有具备弯曲形状的接触表面,两个块A1和A2的接触表面可以彼此接触以在平面图中彼此叠置。因此,能够通过第一部分P1抑制或防止施加到背板BP的RF电力泄漏。
参照图2和图6,第二部分P2可以具有框架形状。第二部分P2可以包括第一侧壁部分P2-1和第二侧壁部分P2-2,第一侧壁部分P2-1覆盖第一导电板PL1的第一侧壁PL1-S,第二侧壁部分P2-2覆盖第二导电板PL2的第二侧壁PL2-S。另外,第二部分P2还可以包括将第一侧壁部分P2-1和第二侧壁部分P2-2彼此连接的框架部分P2-3。将第一侧壁PL1-S和第二侧壁PL2-S彼此连接的第一导电板PL1的底表面PL1-B可以设置在框架部分P2-3上。
与第一部分P1的突起PR接合的接合部分PR-I可以设置在第一侧壁部分P2-1处。接合部分PR-I可以是形成在第一侧壁部分P2-1中以与突起PR的形状和位置对应的台阶部分。
第二侧壁部分P2-2可以延伸以进一步覆盖扩散器GD的侧壁。第二侧壁部分P2-2可以与第二绝缘体IS2组装在一起。第二侧壁部分P2-2的端部可以具有台阶形状。
在本实施例中,由于第二部分P2完全覆盖背板BP的侧壁,因此能够防止施加到背板BP的RF电力经由第一导电板PL1的第一侧壁PL1-S和底表面PL1-B以及第二导电板PL2的第二侧壁PL2-S泄漏到室CB。
参照图6和图7,第二部分P2可以包括多个组装的块P2-B。多个块P2-B中的组装到彼此的两个块B1和B2中的每个可以具有具备弯曲形状的接触表面,两个块B1和B2的接触表面可以彼此接触以在平面图中彼此叠置。因此,能够通过第二部分P2来抑制或防止施加到背板BP的RF电力泄漏。
由于第一部分P1和第二部分P2中的每个包括多个组装的块,因此第一部分P1和第二部分P2可以容易地结合到具有宽面积的背板BP。
参照图1、图8和图9,第二绝缘体IS2可以使扩散器GD与室CB绝缘。第二绝缘体IS2可以具有沿扩散器GD的侧壁设置并且暴露扩散器GD的底表面的框架形状。第二绝缘体IS2可以与扩散器GD的侧壁组装在一起。
第二绝缘体IS2可以设置在第一绝缘体IS1下方,并可以与第一绝缘体IS1组装在一起。第二绝缘体IS2可以与第一绝缘体IS1的第二侧壁部分P2-2组装在一起。第二绝缘体IS2可以覆盖第二侧壁部分P2-2的端部,以防止沉积气体EG与第一绝缘体IS1接触。
第二绝缘体IS2可以包括多个组装的块。由于第二绝缘体IS2包括多个组装的块,因此第二绝缘体IS2可以容易地结合到具有宽面积的扩散器GD。
第二绝缘体IS2的多个块中的组装到彼此的两个块B3和B4中的每个可以具有具备弯曲形状的接触表面,两个块B3和B4的接触表面可以彼此接触以在平面图中彼此叠置。因此,能够通过第二绝缘体IS2抑制或防止施加到背板BP和扩散器GD的RF电力泄漏。
第二绝缘体IS2可以包括陶瓷。因此,第二绝缘体IS2可以使可由沉积气体EG和热量引起的第一绝缘体IS1的损坏最小化或防止可由沉积气体EG和热量引起的第一绝缘体IS1的损坏。
图10是示出根据发明的实施例的显示设备的剖视图。将参照附图来描述根据发明的实施例的利用化学气相沉积设备CVD制造显示设备DD的方法。出于容易和方便描述的目的,将省略与上面的实施例中的相同的描述。
参照图1和图10,在根据发明的实施例的制造显示设备DD的方法中,可以在具有基体构件BSM、电路层CL和显示层DPL的基底T上形成薄膜封装层TFE。
基体构件BSM可以包括提供有图像的显示区域DA以及与显示区域DA相邻的非显示区域NDA。基体构件BSM可以包括基体层SUB和缓冲层BFL。
基体层SUB可以是柔性基底,并可以包括塑料基底、玻璃基底、金属基底或有机/无机复合基底。缓冲层BFL可以包括氧化硅和氮化硅中的至少一种。
在图10中,在基体层SUB的表面上设置与功能层的示例对应的缓冲层BFL。然而,发明的实施例不限于此。在另一实施例中,可以在基体层SUB上设置阻挡层作为功能层。可选择地,在根据发明的又一实施例的显示设备中可以省略缓冲层BFL。
电路层CL可以包括薄膜晶体管TR和至少一个绝缘层。
在基体层SUB上设置薄膜晶体管TR的半导体图案OSP。半导体图案OSP可以包括从由非晶硅、多晶硅和金属氧化物半导体组成的组中选择的一种。绝缘层可以包括第一绝缘层10和第二绝缘层20。
在基体层SUB上设置第一绝缘层10以覆盖半导体图案OSP。第一绝缘层10可以包括有机层和/或无机层。在实施例中,第一绝缘层10可以包括多个无机薄层。所述多个无机薄层可以包括氮化硅层和氧化硅层。
在第一绝缘层10上设置薄膜晶体管TR的控制电极GE。在第一绝缘层10上设置第二绝缘层20,并且第二绝缘层20覆盖控制电极GE。第二绝缘层20包括有机层和/或无机层。在实施例中,第二绝缘层20可以包括多个无机薄层。所述多个无机薄层可以包括氮化硅层和氧化硅层。第二绝缘层20可以包括与第一绝缘层10的材料不同的材料。
在第二绝缘层20上设置薄膜晶体管TR的输入电极SE和输出电极DE。可以在第二绝缘层20上设置多条信号线。
可以在第二绝缘层20上设置第三绝缘层30以覆盖输入电极SE和输出电极DE。第三绝缘层30包括有机层和/或无机层。在实施例中,第三绝缘层30可以包括有机材料以提供平坦的表面。
在第三绝缘层30上设置显示层DPL。更详细地,在第三绝缘层30上设置像素限定层PDL和有机发光二极管OLED。在第三绝缘层30上设置阳极AE。阳极AE通过穿过第三绝缘层30的通孔连接到输出电极DE。发光区域OP限定在像素限定层PDL中。像素限定层PDL的发光区域OP暴露阳极AE的至少一部分。
在阳极AE上设置发光单元EU。在发光单元EU上设置阴极CE。阳极AE、发光单元EU和阴极CE可以构成有机发光二极管OLED。尽管未在附图中示出,但是发光单元EU可以包括空穴控制层、有机发光层和电子控制层。
薄膜封装层TFE可以包括顺序地堆叠在显示层DPL上的第一无机薄层IL1、有机薄层OL和第二无机薄层IL2。
在本实施例中,在设置于室CB中的基座SP上准备具有显示层DPL的基底T。之后,在基底T上形成等离子体区,并将沉积气体EG提供到等离子体区中以在显示层DPL上形成第一无机薄层IL1。
将对沉积必需的沉积气体EG注入到室CB中,并向背板BP施加RF电力以将沉积气体EG的一部分形成为处于等离子体状态下的气体(在下文中,被称作‘等离子体’)。在本实施例中,RF电力可以具有大约13.56MHz或更大的频率。
由于等离子体降低沉积气体EG的活化能,因此沉积气体EG可以在低温下处于激发态。处于激发态的沉积气体EG可以包括分成高反应性离子和自由基的亚稳态原子或分子。因此,相比于传统的化学气相沉积方法,等离子体增强化学气相沉积方法可以在更低的温度下形成薄层。
亚稳态原子或分子可以吸附在基底T上以形成第一无机薄层IL1。亚稳态原子或分子可以重新分布在基底T的表面上,并可以在基底T的表面上进行物理和化学反应。亚稳态原子或分子在迁移到最稳定的位点并形成新键的同时沉积在基底T上。
在本实施例中,在阴极CE上形成第一无机薄层IL1。
可以在第一无机薄层IL1上形成有机薄层OL。可以在彼此不同的室中形成第一无机薄层IL1和有机薄层OL。
可以在有机薄层OL上形成第二无机薄层IL2。此时,可以与第一无机薄层IL1在同一室CB中通过与第一无机薄层IL1的制造工艺相同的制造工艺来形成第二无机薄层IL2。在本实施例中,薄膜封装层TFE包括第一无机薄层IL1、有机薄层OL和第二无机薄层IL2。然而,发明的实施例不限于此。在某些实施例中,薄膜封装层TFE可以包括多个无机薄层和多个有机薄层。
根据发明的实施例,可以防止RF电力从背板BP泄漏以在室CB中形成均匀的等离子体。因此,可以减少工艺时间,并且可以改善工艺可靠性。
虽然已经参照示例实施例描述了发明,但是本领域技术人员将清楚的是,在不脱离发明的精神和范围的情况下,可以做出各种改变和修改。因此,应理解的是,上面的实施例不是限制性的,而是示出性的。因此,发明的范围将由权利要求及其等同物的可允许的最宽解释来确定,并且不应被上述的描述限定或限制。

Claims (10)

1.一种化学气相沉积设备,所述化学气相沉积设备包括:
室;
基座,设置在所述室中,并支撑具有有机发光二极管的基底;
背板,设置在所述基座上方,并与所述基座分隔开预定距离;以及
扩散器,设置在所述背板与所述基座之间,并将沉积气体提供到所述基底,
其中,所述背板包括:导电板;冷却水管,嵌入所述导电板中,并且被构造为承载冷却水;以及绝缘口,设置在所述导电板上,并包括与所述冷却水管的入口和出口对准的连接管。
2.根据权利要求1所述的化学气相沉积设备,所述化学气相沉积设备还包括设置在所述绝缘口上的供给管和排出管,
其中,所述供给管连接到所述连接管中的一个,并且所述排出管连接到所述连接管中的另一个,并且
其中,所述绝缘口使所述供给管和所述排出管与所述冷却水管绝缘。
3.根据权利要求2所述的化学气相沉积设备,所述化学气相沉积设备还包括设置在所述绝缘口上的结合部分,
其中,所述供给管和所述排出管固定在所述结合部分处,彼此间隔开,并穿过所述结合部分以连接到所述连接管。
4.根据权利要求3所述的化学气相沉积设备,其中,所述供给管、所述排出管和所述结合部分均包括金属材料。
5.根据权利要求1所述的化学气相沉积设备,其中,所述绝缘口包括塑料。
6.根据权利要求1所述的化学气相沉积设备,所述化学气相沉积设备还包括:
第一绝缘体,覆盖所述导电板的顶表面和侧壁,并围绕所述绝缘口;以及
第二绝缘体,与所述第一绝缘体组装在一起,并连接到所述室和所述扩散器。
7.根据权利要求1所述的化学气相沉积设备,其中,所述扩散器电连接到所述背板,并使所述沉积气体供应到的特定空间被限定在所述扩散器与所述背板之间。
8.根据权利要求1所述的化学气相沉积设备,其中,所述导电板包括第一导电板和设置在所述第一导电板下方的第二导电板,并且
所述冷却水管嵌入在所述第一导电板或所述第二导电板中。
9.根据权利要求8所述的化学气相沉积设备,其中,所述第一导电板和第二导电板彼此一体地形成。
10.根据权利要求8所述的化学气相沉积设备,其中,所述第一导电板的面积大于所述第二导电板的面积。
CN202210522180.4A 2017-07-11 2018-06-22 化学气相沉积设备 Pending CN114807895A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR10-2017-0087963 2017-07-11
KR1020170087963A KR102431354B1 (ko) 2017-07-11 2017-07-11 화학기상 증착장치 및 이를 이용한 표시 장치의 제조 방법
CN201810650227.9A CN109234708B (zh) 2017-07-11 2018-06-22 化学气相沉积设备和利用其制造显示设备的方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201810650227.9A Division CN109234708B (zh) 2017-07-11 2018-06-22 化学气相沉积设备和利用其制造显示设备的方法

Publications (1)

Publication Number Publication Date
CN114807895A true CN114807895A (zh) 2022-07-29

Family

ID=62631010

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201810650227.9A Active CN109234708B (zh) 2017-07-11 2018-06-22 化学气相沉积设备和利用其制造显示设备的方法
CN202210522180.4A Pending CN114807895A (zh) 2017-07-11 2018-06-22 化学气相沉积设备

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201810650227.9A Active CN109234708B (zh) 2017-07-11 2018-06-22 化学气相沉积设备和利用其制造显示设备的方法

Country Status (4)

Country Link
US (2) US11302517B2 (zh)
EP (2) EP4243052A3 (zh)
KR (1) KR102431354B1 (zh)
CN (2) CN109234708B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114525498B (zh) * 2022-03-07 2022-11-01 苏州迈为科技股份有限公司 下垂罩板及带有该下垂罩板的pecvd设备
CN115341198B (zh) * 2022-07-05 2023-08-04 湖南红太阳光电科技有限公司 一种平板式pecvd设备

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW332304B (en) * 1993-12-14 1998-05-21 Materials Research Corp Improved gas diffuser plate assembly and RF electrode
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
CN1407135A (zh) * 2001-09-10 2003-04-02 安内华株式会社 表面处理装置
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
CN101802272A (zh) * 2007-09-19 2010-08-11 应用材料股份有限公司 具有冷却的背板的pecvd工艺腔室
CN101985742A (zh) * 2009-07-28 2011-03-16 丽佳达普株式会社 用于化学气相沉积设备的气体喷射单元
CN104060238A (zh) * 2013-03-22 2014-09-24 灿美工程股份有限公司 衬垫组合件和具有衬垫组合件的衬底处理设备

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
KR100423953B1 (ko) * 2001-03-19 2004-03-24 디지웨이브 테크놀러지스 주식회사 화학기상증착장치
US8900366B2 (en) * 2002-04-15 2014-12-02 Samsung Display Co., Ltd. Apparatus for depositing a multilayer coating on discrete sheets
KR100505367B1 (ko) * 2003-03-27 2005-08-04 주식회사 아이피에스 박막증착용 반응용기
KR100965758B1 (ko) 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
JP4404303B2 (ja) 2004-02-03 2010-01-27 株式会社アルバック プラズマcvd装置及び成膜方法
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
KR101248182B1 (ko) 2004-02-26 2013-03-27 어플라이드 머티어리얼스, 인코포레이티드 Feol 제조를 위한 인시튜 세정 챔버
KR20050116230A (ko) 2004-06-07 2005-12-12 엘지.필립스 엘시디 주식회사 플라즈마 강화 화학기상증착 장치
JP2006128485A (ja) * 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
KR20070036844A (ko) * 2005-09-30 2007-04-04 코스텍시스템(주) 반도체 및 액정표시 장치 제조용 플라즈마 화학 증착 챔버
GB2451239B (en) * 2007-07-23 2009-07-08 Microsaic Systems Ltd Microengineered electrode assembly
US8207470B2 (en) * 2008-10-20 2012-06-26 Industry-University Cooperation Foundation Hanyang University Apparatus for generating remote plasma
CN102576667A (zh) 2009-07-22 2012-07-11 应用材料公司 中空阴极喷头
JP6104157B2 (ja) * 2010-05-21 2017-03-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 大面積電極にぴったりと嵌合されたセラミックス絶縁体
JP2012109446A (ja) * 2010-11-18 2012-06-07 Tokyo Electron Ltd 絶縁部材及び絶縁部材を備えた基板処理装置
US20120231181A1 (en) 2011-03-09 2012-09-13 Applied Materials, Inc. Insulation coverage of cvd electrode
US8994511B2 (en) * 2011-09-12 2015-03-31 The Boeing Company Electronic identification package
US20130171545A1 (en) * 2011-12-28 2013-07-04 Enerfuel, Inc. Fuel cell having minimum incidence of leaks
WO2015116245A1 (en) * 2014-01-30 2015-08-06 Applied Materials, Inc. Gas confiner assembly for eliminating shadow frame
KR102336686B1 (ko) 2014-12-11 2021-12-08 삼성디스플레이 주식회사 기상 증착 장치 및 이를 이용한 기상 증착 방법
JP6680271B2 (ja) * 2017-06-23 2020-04-15 日新イオン機器株式会社 プラズマ源

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW332304B (en) * 1993-12-14 1998-05-21 Materials Research Corp Improved gas diffuser plate assembly and RF electrode
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
CN1407135A (zh) * 2001-09-10 2003-04-02 安内华株式会社 表面处理装置
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
CN101802272A (zh) * 2007-09-19 2010-08-11 应用材料股份有限公司 具有冷却的背板的pecvd工艺腔室
CN101985742A (zh) * 2009-07-28 2011-03-16 丽佳达普株式会社 用于化学气相沉积设备的气体喷射单元
CN104060238A (zh) * 2013-03-22 2014-09-24 灿美工程股份有限公司 衬垫组合件和具有衬垫组合件的衬底处理设备

Also Published As

Publication number Publication date
KR102431354B1 (ko) 2022-08-11
CN109234708B (zh) 2022-06-03
EP3428312A2 (en) 2019-01-16
US20190019652A1 (en) 2019-01-17
EP4243052A3 (en) 2023-12-06
KR20190007141A (ko) 2019-01-22
US11302517B2 (en) 2022-04-12
US20220238306A1 (en) 2022-07-28
EP3428312A3 (en) 2019-05-08
US11842883B2 (en) 2023-12-12
EP3428312B1 (en) 2024-03-13
CN109234708A (zh) 2019-01-18
EP4243052A2 (en) 2023-09-13

Similar Documents

Publication Publication Date Title
JP5484650B2 (ja) 基板支持体の能動的冷却
US11842883B2 (en) Chemical vapor deposition apparatus and method of manufacturing display apparatus using the same
US7842356B2 (en) Substrate processing methods
US20080295772A1 (en) Chemical vapor deposition apparatus and plasma enhanced chemical vapor deposition apparatus
KR100408990B1 (ko) 플라즈마 처리장치
US20150197851A1 (en) Process chamber and substrate processing device
CN105659366A (zh) 使用远程等离子体cvd技术的低温氮化硅膜
US20070221129A1 (en) Apparatus for depositing atomic layer using gas separation type showerhead
KR20080109100A (ko) 성막 처리 장치 및 성막 처리 방법
CN104395498A (zh) 使用快速热处理的原子层沉积
CN114981475A (zh) 用于原子层沉积前驱物输送的喷头
KR20150001688U (ko) 사분면들을 구비한 기판 지지체
WO2001099171A1 (fr) Dispositif de fourniture de gaz et dispositif de traitement
JP2016027551A (ja) 成膜方法および成膜装置
TW202102066A (zh) 接地帶組件
US20060175304A1 (en) Method of forming layers on substrates using microwave energy and apparatus for performing the same
KR20180129976A (ko) 개선된 기판 지지부
TW200834688A (en) Prevention of film deposition on PECVD process chamber wall
KR101882330B1 (ko) 증착 장치
US20230272530A1 (en) Large-area high-density plasma processing chamber for flat panel displays
WO2019104021A1 (en) Ceramic pedestal having atomic protective layer
KR20240007595A (ko) 가스 분사 장치, 기판 처리 장치 및 박막 증착 방법
CN107611065B (zh) 附着材料和半导体腔室部件
KR101394481B1 (ko) 가스 분사 장치 및 이를 이용한 유기 박막 증착 장치
KR20240035313A (ko) 가스 분사 장치, 기판 처리 장치 및 박막 증착 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination