CN113437023A - 半导体元件及其制造方法 - Google Patents

半导体元件及其制造方法 Download PDF

Info

Publication number
CN113437023A
CN113437023A CN202110708945.9A CN202110708945A CN113437023A CN 113437023 A CN113437023 A CN 113437023A CN 202110708945 A CN202110708945 A CN 202110708945A CN 113437023 A CN113437023 A CN 113437023A
Authority
CN
China
Prior art keywords
layer
dielectric layer
semiconductor
opening
semiconductor element
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110708945.9A
Other languages
English (en)
Inventor
黄彦钧
谢博全
郑培仁
黄泰钧
李资良
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN113437023A publication Critical patent/CN113437023A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76227Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials the dielectric materials being obtained by full chemical transformation of non-dielectric materials, such as polycristalline silicon, metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本揭露为提供一种半导体元件及其制造方法。半导体元件的制造方法包括在开口中沉积第一介电层,第一介电层包含半导体元素及非半导体元素。此方法还包括在第一介电层上沉积半导体层,半导体层包含与半导体元素相同的第一元素。此方法还包括将第二元素引入至半导体层,其中第二元素与非半导体元素相同。此方法还包括:对半导体层应用热退火制程,以将半导体层改变为第二介电层。

Description

半导体元件及其制造方法
本申请是申请日为2016年12月30日、申请号为201611262843.4、发明名称为“半导体元件及其制造方法”的专利申请的分案申请。
技术领域
本揭露是关于一种半导体元件及其制造方法。
背景技术
在半导体集成电路(integrated circuit;IC)工业中,IC材料及设计的技术进步已产生数代IC,其中每一代皆比前代具有更小且更复杂的电路。在IC演进的过程中,功能密度(亦即,每晶片面积互连元件的数目)大体而言已增加,而几何尺寸(亦即,可使用制造制程产生的最小组件(或接线))已减小。此缩小制程大体通过提高生产效率及降低相关联成本来提供益处。此缩小亦增加了IC处理及制造的复杂性。
半导体元件制造包括许多不同制程,每个制程具有相关联循环时间及成本要求。持续希望减少元件制造中的成本及循环时间。此外,持续希望减少半导体制造中的缺陷的数目及提高半导体制造中的良率。本揭示案提供关于制造这些元件的改良。
发明内容
本揭露的一实施例为一种半导体的制造方法,包含在开口中沉积第一介电层,第一介电层包含半导体元素及非半导体元素。在第一介电层上沉积半导体层,半导体层包含与半导体元素相同的第一元素。将第二元素引入至半导体层,其中第二元素与非半导体元素相同。对半导体层应用热退火制程,以将半导体层改变为第二介电层。
本揭露的另一实施例为一种半导体的制造方法,包含在开口内沉积第一氮化硅层。在第一氮化硅层上沉积硅层。将氮元素引入至硅层。对硅层应用一热退火制程。
本揭露的又一实施例为一种半导体元件,包含开口、第一介电层、第二介电层。开口具有比宽度更大的深度。第一介电层沉积在开口的多个侧壁及底部上,第一介电层包含半导体元素及非半导体元素,第一介电层经设置使得开口的中心具有缝隙。第二介电层设置于第一介电层上及缝隙内,第二介电层包含与半导体元素相同的第一元素及与非半导体元素相同的第二元素。其中非半导体元素与半导体元素的比例大于第二元素与第一元素的比例。
附图说明
与随附附图一起阅读时自以下详细描述最好地理解本揭示案的态样。应注意,根据工业中的标准实务,各种特征未按比例绘制。事实上,为论述清楚起见,可任意增加或减少各种特征的尺寸。
图1A为本揭露的部分实施例的基板中的开口的示意图;
图1B为本揭露的部分实施例的用于沉积半导体化合物材料以填补开口的示意图;
图1C为本揭露的部分实施例的在半导体化合物材料上形成半导体层的示意图;
图1D为本揭露的部分实施例的在半导体层上执行布植制程的示意图;
图1E为本揭露的部分实施例的执行退火制程的示意图;
图1F为本揭露的部分实施例的无缝填补的示意图;
图2为本揭露的部分实施例的用于鳍结构之间的开口的无缝填补的示意图;
图3为本揭露的部分实施例的无缝填补的示意图,其中开口曝露源极区域/漏极区域;
图4为本揭露的部分实施例的无缝填补的示意图,其中开口曝露栅极结构;
图5为本揭露的部分实施例的使用无缝填补方法形成的浅沟槽隔离结构的示意图;
图6A及图6B为本揭露的部分实施例的无缝填补的掺杂分布图;
图7A及图7B为本揭露的部分实施例的具有不同角度的无缝填补的图;
图8为本揭露的部分实施例的用于形成无缝填补的流程图。
具体实施方式
以下揭示内容提供用于实施所提供标的的不同特征的许多不同实施例或实施例。下文描述组件及布置的特定实施例,以简化本揭示案。当然这些内容仅为实施例且不意欲限制。举例而言,在随后的描述中第一特征形成于第二特征上方或形成于第二特征上可包括第一特征及第二特征直接接触形成的实施例,且亦可包括额外特征可形成于第一特征与第二特征之间以使得第一特征及第二特征可不直接接触的实施例。此外,本揭示案可重复各种实施例中的元件符号及/或字母。此重复是出于简单及清楚的目的且本质上并不规定所论述的各种实施例及/或配置之间的关系。
此外,为便于描述,本文可使用诸如“在……下面”、“在……下方”、“下部”、“在……上方”、“上部”及类似术语的空间相对术语,以描述诸图中所图示的一个元件或一个特征与另外一或多个元件或一或多个特征的关系。除诸图中所示方位之外,这些空间相对术语意欲涵盖使用中或操作中元件的不同方位。设备可以另外方式定向(旋转90度或处于其他方位),且可同样对本文所使用的空间相对描述词相应地进行阐释。
在制造半导体元件期间,常常希望用诸如氮化硅或其他半导体化合物材料的介电材料填补小开口(诸如,沟槽)。通常通过应用沉积制程以填补开口来填补这些开口。随后,应用化学机械研磨(Chemical Mechanical Polishing;CMP)制程以移除过量材料。但此制程通常在开口内留下缝隙。特定言之,沉积制程(在开口的侧壁上)水平方向上积累材料及(在开口的底表面上及开口外部的基板的顶表面上)在垂直方向上积累材料。随着材料在开口的侧壁及底表面上积累,直到一个程度后,在水平方向上就不再形成材料。此情形导致开口内产生缝隙。而此缝隙是希望可以避免的。
因此,本文描述的方法提供用于减少或消除开口中的缝隙的技术。在一个实施例中,诸如原子层沉积(Atomic Layer Deposition;ALD)的沉积制程用以在开口上方沉积介电半导体化合物材料(例如,氮化硅)以填补彼开口。如上文描述,此举通常在开口内留下缝隙。随后,将半导体层(例如,硅)沉积至半导体化合物材料上。随后,将半导体化合物的另一元素引入至半导体材料中。在半导体化合物为氮化硅且半导体层为硅的实施例中,随后将氮引入至半导体层中。可例如通过布植制程引入氮。或者,可通过使氮气通过半导体层的表面上方来引入氮。随后,执行退火制程。退火制程将使半导体材料及另一元素形成额外半导体化合物材料,以填补缝隙。
使用本文描述的原理,改良了沉积至相对较小开口中的介电材料的品质。换言之,可实质上减少或消除这些介电质填补物内的缝隙。此举提高在将具有介电材料的元件形成至相对较小开口中时涉及的半导体制造制程的良率。
图1A为本揭露的部分实施例的基板中的开口的示意图。开口104具有较高深宽比,如:大于5的深宽比。如下文将进一步详细描述的,开口104可为如鳍结构之间的沟槽。在此情形下,使用用以填补开口104的材料来形成浅沟槽隔离(Shallow Trench Isolation;STI)区域。在一些实施例中,开口104为介电材料层中的接触孔,用以曝露诸如源极区域/漏极区域或栅极结构的下层特征。
基板102可包括单一材料或可包括多种材料。于一实施例中,开口104用以分离鳍结构,而基板102可包括诸如硅的单一半导体材料。于另一实施立中,开口104曝露下层特征,基板102可包括各种材料,诸如,半导体材料、掺杂半导体材料、栅极堆叠材料及层间介电(interlayer dielectric;ILD)材料。这些材料可形成一起形成诸如晶体管的集成电路组件的各种图案。
在一实施例中,开口104的宽度110为约20纳米。在一些实施例中,宽度小于20纳米。深宽比(亦即,高度112与宽度110的比例)可为约5。在一些实施例中,深宽比大于5。在一个实施例中,开口104的底表面108与开口104的侧壁表面106之间的角度111在约87度至93度的范围内。
图1B为本揭露的部分实施例的用于沉积半导体化合物材料以填补开口的示意图。沉积制程114用于在开口104内沉积第一介电层116。特定言之,在基板102的顶部上、开口的侧壁表面106上及开口104的底表面108上沉积第一介电层116。沉积第一介电层116的同时,在开口104接近中心的位置留下缝隙118。
沉积制程114可为各种沉积制程中的一种沉积制程。在一实施例中,沉积制程114为原子层沉积制程。原子层沉积中,前驱物产生化学反应。特定言之,将基板曝露至交替的气体物种以在基板上沉积材料层。可使用的沉积制程的另一实施例为化学气相沉积(Chemical Vapor Deposition;CVD)制程,诸如,低压化学气相沉积(Low Pressure CVD;LPCVD)制程。化学气相沉积制程中,将前驱物曝露至基板。化学反应使材料沉积至基板上。在低气压下执行低压化学气相沉积制程。
第一介电层116可为下述材料中的其中一种,这些材料包括半导体材料与非半导体材料。非半导体材料称为第二材料或二级材料。在一些实施例中,半导体材料可为硅(Si)、锗(Ge)或III-V族半导体材料中的一者。因此,第一介电层116可为诸如氮化硅(SiN)、氧化硅(SiO)或氧氮化硅(SiON)等介电材料。亦可使用其他介电半导体化合物材料。
在一个实施例中,缝隙118的宽度在约1纳米至5纳米的范围内。缝隙可能难以通过持续沉积第一介电层116来进行填补。举例而言,持续沉积第一介电层116可填补位于顶部的缝隙,却阻止了开口内的较低部分的沉积。并导致在开口的中心内留下空间或缝隙。故填补此缝隙是我们所希望的。
图1C为本揭露的部分实施例的在半导体化合物材料上形成半导体层的示意图。沉积制程120用于在第一介电层116上形成半导体层122。可使用诸如原子层沉积或低压化学气相沉积的沉积制程沉积半导体层122。半导体层122由组成第一介电层116的半导体材料制成。举例而言,若第一介电层116为氮化硅,则半导体层122由硅制成。
图1D为本揭露的部分实施例的在半导体层上执行布植制程的示意图。在半导体层122上应用布植制程124。布植制程中,将离子驱动至基板(特定言之,半导体层122)中,以便离子嵌入基板中。举例而言,若第一介电层116为氮化硅,则布植制程可布植氮。若第一介电层116为氧化硅,则布植制程124可布植氧。若第一介电层116为氧氮化硅,则布植制程124可布植氧及氮两者。
布植制程124可具有多种用途。在一实施例中,布植制程124可将半导体层122变为非晶半导体层126。非晶半导体层126不像原半导体层122具有晶体结构。换言之,布植制程124分解半导体层122的晶体结构。此举将有助于将在下文进一步详细描述的,在退火制程期间将非晶半导体层126转变成与第一介电层116相似的第二介电层。
此外,布植制程124可将诸如氮的非半导体元素引入至半导体层122中。经由布植制程引入的非半导体元素可与第一介电层116的非半导体元素相同。举例而言,若第一介电层116为氮化硅,则可使用布植制程124将氮引入至半导体层122中。在另一实施例中,若第一介电层116为氧化硅,则可使用布植制程124将氧引入至半导体层122中。在另一实施例中,若第一介电层116为氧氮化硅,则可使用布植制程124将氧及氮两者皆引入至半导体层122中。
图1E为本揭露的部分实施例的执行退火制程的示意图。应用退火制程128,其中退火制程128为热退火。退火制程包含在一特定的时段内执行高温处理。在一个实施例中,退火制程128可应用950℃的温度长达至少30分钟。退火制程128的设计是为了将半导体层122或非晶半导体层126转变成第一介电层116。
在一些实施例中,在正在执行退火制程时,可通过使含有第二元素的气体130经过基板上方来应用包含第二元素的额外材料。举例而言,若第一介电层116为氮化硅,则气体130可包括氮气。若第一介电层116为氧化硅,则气体130可包括氧气。若第一介电层116为氧氮化硅,则气体130可包括氧气及氮气两者。
在一些实施例中,可仅透过布植制程124引入额外的材料,这些额外的材料包含第一介电层116的非半导体元素。在一些实施例中,可在退火制程128期间仅透过气体130引入对应于第一介电层116的非半导体元素。在一些实施例中,可在退火制程128期间经由布植制程124及气体130两者引入对应于第一介电层116的非半导体元素。
图1F为本揭露的部分实施例的无缝填补的示意图。根据本实施例,在退火制程128之后,已将半导体层122转变成第二介电层132,其中第二介电层132与第一介电层116具有类似的材料。因此,开口104的中心经填补而不存在缝隙。可随后执行集成电路的制造步骤。举例而言,化学机械研磨制程可用以移除部分第二介电层132以曝露基板102。随后,可执行蚀刻制程以部分地移除开口104的上部部分的单一第二介电层132。
使用本文描述的原理,沉积至相对较小开口中的介电材料具有较佳的品质。换言之,可实质上减少或消除介电质填充物内的缝隙。在介电材料形成至相对较小开口的制程中,本揭露可提升半导体制造制程的良率。
图2为本揭露的部分实施例的用于鳍结构之间的开口的无缝填补的示意图。如上文所描述的,开口104可用于各种情形的开口。在一实施例中,开口104对应至鳍结构202之间的空间。可经由图案化半导体基板来形成鳍结构。特定言之,可移除半导体基板的部分以使得余留部分形成鳍结构。在一些实施例中,欲在鳍结构之间形成浅沟槽隔离区域。使用已知沉积制程形成浅沟槽隔离特征可能产生上述的缝隙。但使用本文描述的原理可形成浅沟槽隔离区域204而不具有此缝隙。
图3为本揭露的部分实施例的无缝填补的示意图,其中开口104曝露源极区域/漏极区域306。可在两个栅极结构302之间定位开口104。在一些实施例中,产生开口104以曝露源极区域/漏极区域306,以便用金属材料填补开口104来形成触点。栅极结构302的侧壁308上可形成有介电栅极间隔物310,以使栅极结构302与将在开口104中形成的金属触点电性绝缘。在形成金属触点之前,可在开口104内形成暂时介电材料以充当蚀刻终止层304。蚀刻终止层304可保护源极区域/漏极区域306不经受各种其他制造制程。可随后在形成金属触点之前移除蚀刻终止层304。使用本文描述的原理,蚀刻终止层304不具有缝隙,并可以降低蚀刻剂经由缝隙到达下层源极区域/漏极区域306的风险。
图4为本揭露的部分实施例的无缝填补的示意图,其中开口104曝露栅极结构406。在一些情形下,在栅极结构406上方形成层间介电层402。随后,蚀刻制程用以曝露开口104,以便可将金属材料填补至开口104中形成栅极触点。但在形成金属栅极触点之前,可在开口104内形成暂时介电材料以充当蚀刻终止层404。蚀刻终止层404可保护栅极结构406不经受各种其他制造制程,且可在完成这些制程之后移除蚀刻终止层404。使用本文描述的原理,介电蚀刻终止层404将不具有缝隙,并可降低蚀刻剂经由缝隙到达下层栅极结构406的风险。
图2至图4图仅为本揭露的一些态样而已,将介电层沉积至相对较小开口中的各种情况亦可受益于本文描述的原理。举例而言,其中当开口的高度与宽度的比例大于5且开口的宽度为近似20纳米或更小的情形可受益于使用本文描述的原理。通过使用本文描述的原理,可减少或消除微小的缝隙,可提高良率,且改良了开口内形成的介电层的整体品质。
图5为本揭露的部分实施例的使用无缝填补方法形成的浅沟槽隔离结构的示意图。图5为鳍场效晶体管元件500的透视图。鳍场效晶体管元件包括通过浅沟槽隔离结构510分离的一定数目的鳍结构505。可使用本文描述的无缝填补方法形成浅沟槽隔离结构510。随后,可向下蚀刻浅沟槽隔离区域至所要高度。由于使用无缝填补方法,所以在浅沟槽隔离结构510的中心中不存在缝隙。在鳍结构505上方设置栅极堆叠。栅极堆叠可包括高介电常数介电层506、N型金属502或P型金属504及金属层508。栅极堆叠形成具有宽度512的栅极元件。
图6A及图6B为本揭露的部分实施例的无缝填补的掺杂分布图。第一介电层116及第二介电层132具有类似材料,但这些材料之间的比例可不同。特定言之,半导体元素与非半导体元素之间的比例可在第一介电层116与第二介电层132之间不同。举例而言,第二介电层132中的非半导体元素的浓度可高于第一介电层116中的非半导体元素的浓度。
图6A为图示沿着第一介电层116与第二介电层132的水平截面600的浓度分布601的曲线图。水平截面600为沿着图1F中所示的区段6A。纵轴604表示半导体元素(例如,硅)内的非半导体元素(例如,氮)的浓度。横轴606为位置。
浓度分布601显示第一介电层116与第二介电层132之间的介面602处的浓度具有下降610。换言之,第一介电层116中的非半导体元素的浓度大于第二介电层132中的非半导体元素的浓度。此外,在第二介电层132内,非半导体元素的浓度往第二介电层132的中心增加至峰值612。在一实施例中,第一介电层116中的非半导体元素与半导体元素的比例为4:3。第二介电层132中的非半导体元素与半导体元素的比例可小于此值。
图6B为图示沿着第一介电层116及第二介电层132的垂直截面620的浓度分布601的图。垂直截面620沿着图1F中所示的区段6B。纵轴624表示位置。横轴626表示半导体元素(例如,硅)内的非半导体元素(例如,氮)的浓度。
浓度分布621显示第一介电层116与第二介电层132之间的介面622处的浓度具有下降630。换言之,第一介电层116中的非半导体元素的浓度大于第二介电层132中的非半导体元素的浓度。此外,在第二介电层132内,非半导体元素的浓度向着第二介电层132的中心增加至峰值628。
图7A与7B为图示具有不同角度的无缝填补的图。图7A为图示具有角度702的侧壁的开口104的图,角度702在87度至90度的范围内。图7B为图示具有角度704的侧壁的开口104的图,角度704在90度至93度的范围内。亦可在成其他角度的侧壁上使用本文描述的原理。
图8为本揭露的部分实施例的用于形成无缝填补的流程图。根据一个实施例,方法800包括步骤802,在开口内沉积第一介电层,第一介电层包含半导体材料及第二非半导体材料。如上文所描述的,开口可为例如鳍结构之间的沟槽。在此情形下,将材料填补至开口以形成浅沟槽隔离(STI)区域。在一些实施例中,开口为介电材料层中用以曝露诸如源极区域/漏极区域或栅极结构的下层特征的接触孔。在一个实施例中,开口的宽度为近似20纳米。在一些实施例中,宽度小于20纳米。深宽比(亦即,高度与宽度的比例)可为近似5。在一些实施例中,深宽比大于5。在一个实施例中,开口的底表面与开口的侧壁表面之间的角度在约87度至93度的范围内。
如上文所描述的,第一介电层可包括半导体材料,诸如,硅、锗或III-V族半导体材料。第一介电层亦包括在与半导体材料组合时形成介电半导体化合物的第二非半导体材料,诸如,氧或氮。举例而言,第一介电层可为氮化硅、氧化硅及氧氮化硅中的一者。可使用各种技术中的一种技术沉积半导体化合物材料,这些各种技术包括(但不限于)原子层沉积及低压化学气相沉积。原子层沉积涉及前驱物的化学反应。特定言之,将基板曝露至交替气态物种以将材料的薄层沉积至基板上。可使用的沉积制程的另一实施例为化学气相沉积(CVD)制程,诸如,低压化学气相沉积(LPCVD)制程。化学气相沉积制程亦涉及使用曝露至基板的前驱物。化学反应使材料沉积至基板上。在低气压下执行低压化学气相沉积制程。
如上文所描述的,沉积第一介电层可能在开口的中心附近留下缝隙。缝隙的宽度可在约1纳米至5纳米的范围内。可能难以通过持续沉积第一介电层来填补此缝隙。举例而言,举例而言,持续沉积第一介电层可填补位于顶部的缝隙,却阻止了开口内的较低部分的沉积。并导致在开口的中心内留下空间或缝隙。故填补此缝隙是我们所希望的。
根据本实施例,方法800进一步包括步骤804,在第一介电层上沉积半导体层,半导体层包括与第一介电层内相同的半导体材料。可使用各种技术中的一种技术沉积半导体层,这些技术包括(但不限于)原子层沉积及低压化学气相沉积。半导体层由半导体化合物材料中相同类型的半导体材料组成。举例而言,若半导体化合物材料为氮化硅,则半导体层由硅组成。沉积半导体层可部分地或完全填补在沉积第一介电层之后余留的缝隙。
根据本实施例,方法800进一步包括步骤806,将第二材料引入至半导体层。举例而言,若第一介电层为氮化硅,则将氮引入至半导体层中。若第一介电层为氧化硅,则将氧引入至半导体层中。若第一介电层为氧氮化硅,则将氧及氮两者皆引入至半导体层中。
可以各种方式中的一种方式执行将第二类型的材料引入至半导体层中。举例而言,如上文所描述的,可经由布植制程引入第二类型的材料。在一实施例中,布植制程可将半导体层转变为非晶半导体层。非晶半导体层不像原半导体层具有晶体结构。换言之,布植制程分解半导体层的晶体结构。此举将有助于如将在下文进一步详细描述的,在退火制程期间将非晶半导体层转变成第一介电层的制程。
此外或替代地,可通过使气体经由半导体层上方来引入第二材料。举例而言,若第一介电层为氮化硅,则气体可为氮气(N2)。若第一介电层为氧化硅,则气体可为氧气(O2)。若第一介电层为氧氮化硅,则气体可包括氧气及氮气两者。
根据本实施例,方法800包括步骤808,将热退火制程应用于半导体层以将半导体层改变为具有与第一介电层相同的元素的第二介电层。退火制程涉及应用高温长达设定时段。举例而言,退火制程可应用950℃的温度长达至少30分钟。退火制程使额外材料引入至半导体层中以与半导体层组合形成第二介电层。此步骤产生额外介电材料以填补缝隙并在开口内产生单一无缝介电填补物。
根据本实施例,在应用退火制程之后,已将半导体层转变成第二介电层,以形成单一半导体介电层。因此,在开口的中心经填补而不存在缝隙。可随后继续集成电路的制造步骤。举例而言,化学机械研磨制程可用以移除第一介电层及第二介电层的部分以曝露基板。随后,蚀刻制程可用以部分地移除开口的上部部分的单一半导体公司材料层。
本揭示案的实施例提供优于现有技术的优点,但应理解,其他实施例可提供不同优点,本文不一定论述了所有优点,且没有特定优点对于所有实施例是必需的。通过利用所揭示的方法,有效地填补了开口(诸如,基板中的浅沟槽、源极/漏极的接触孔或凹入的栅极中的沟槽)而不具有孔隙。在其他实施例中,所揭示的方法容易实施,因此减少了制造成本且增加了制造产量。此外,不存在额外损坏或担心。
本揭露的一实施例为一种半导体的制造方法,包含在开口中沉积第一介电层,第一介电层包含半导体元素及非半导体元素。在第一介电层上沉积半导体层,半导体层包含与半导体元素相同的第一元素。将第二元素引入至半导体层,其中第二元素与非半导体元素相同。对半导体层应用热退火制程,以将半导体层改变为第二介电层。
依据本揭露的部分实施例,将该第二元素引入至该半导体层包含在应用热退火制程之前使用第三元素应用布植制程,其中第三元素与非半导体元素相同。
依据本揭露的部分实施例,将第二元素引入至该半导体层包含在热退火制程期间使一气体通过半导体层上方,气体包含与非半导体元素相同的第四元素。
依据本揭露的部分实施例,其中第一介电层包含氮化硅、氧化硅或氧氮化硅。
依据本揭露的部分实施例,其中开口为半导体鳍结构之间的空间。
依据本揭露的部分实施例,其中第一介电层形成浅沟槽隔离区域。
依据本揭露的部分实施例,其中开口曝露一特征。
依据本揭露的部分实施例,其中沉积第一介电层是通过执行原子层沉积或低压化学气相沉积。
依据本揭露的部分实施例,其中沉积半导体层是通过执行原子层沉积或低压化学气相沉积。
依据本揭露的部分实施例,其中第一介电层的非半导体元素的浓度比第二介电层的非半导体元素的浓度更大。
依据本揭露的部分实施例,其中第一介电层的半导体元素与非半导体元素的比例为约4:3。
本揭露的另一实施例为一种半导体的制造方法,包含在开口内沉积第一氮化硅层。在第一氮化硅层上沉积硅层。将氮元素引入至硅层。对硅层应用一热退火制程。
依据本揭露的部分实施例,其中热退火制程使得硅层改变为第二氮化硅层。
依据本揭露的部分实施例,其中第一氮化硅层的氮浓度大于第二氮化硅层的氮浓度。
依据本揭露的部分实施例,其中第二氮化硅层的中间位置中的氮浓度大于第二氮化硅层的边缘处的氮浓度。
依据本揭露的部分实施例,其中引入氮包含应用一布植制程。
依据本揭露的部分实施例,其中引入氮包含:在热退火制程期间使氮气通过半导体层上方。
本揭露的又一实施例为一种半导体元件,包含开口、第一介电层、第二介电层。开口具有比宽度更大的深度。第一介电层沉积在开口的多个侧壁及底部上,第一介电层包含半导体元素及非半导体元素,第一介电层经设置使得开口的中心具有缝隙。第二介电层设置于第一介电层上及缝隙内,第二介电层包含与半导体元素相同的第一元素及与非半导体元素相同的第二元素。其中非半导体元素与半导体元素的比例大于第二元素与第一元素的比例。
依据本揭露的部分实施例,其中非半导体元素与半导体元素的比例在第二介电层的中心处比第二介电层的边缘处更大。
依据本揭露的部分实施例,其中该半导体元素包含硅且该非半导体元素包含氮。
上文概括若干实施例的特征,以便熟悉此项技术者可更好地理解本揭示案的态样。熟悉此项技术者应了解,可容易地将本揭示案用作设计或修改用于执行与本文介绍的实施例相同的目的及/或达成相同的优点的其他制程及结构的基础。熟悉此项技术者亦应意识到,这些等效结构并不脱离本揭示案的精神及范畴,且熟悉此项技术者可在不脱离本揭示案的精神及范畴的情形下对本文进行各种改变、替代及变更。

Claims (10)

1.一种半导体元件的制造方法,其特征在于,包含:
在一栅极结构上方形的一层间介电层中形成一开口;
在该开口中形成一蚀刻终止层,其中形成该蚀刻终止层包含:
在该开口中沉积一第一介电层,该第一介电层包含一半导体元素及一非半导体元素;
在该第一介电层上沉积一半导体层,该半导体层包含与该半导体元素相同的一第一元素;
应用一布植制程将一第二元素引入至该半导体层,其中该第二元素与该非半导体元素相同,该布植制程将该半导体层从晶体结构改变为非晶结构,且该第二元素仅透过该布植制程引入至该半导体层;以及
对该半导体层应用一热退火制程,以将非晶结构的该半导体层改变为和该第一介电层相似的一第二介电层,该第二介电层具有在该开口内的一第一部分和位在该第一部分上方的一第二部分,其中在该热退火制程之后,该第二介电层的该第一部分的一第一氮元素浓度在水平方向上往该第二介电层的该第一部分的一中心增加,该第二介电层的该第二部分的一第二氮元素浓度在垂直方向上往该第二介电层的该第二部分的一中心增加;从该开口中移该除蚀刻终止层;以及
在该开口中形成一金属栅极触点。
2.根据权利要求1所述的半导体元件的制造方法,其特征在于,其中该第一介电层包含氮化硅、氧化硅或氧氮化硅。
3.根据权利要求1所述的半导体元件的制造方法,其特征在于,其中该开口为该半导体鳍结构之间的一空间。
4.根据权利要求1所述的半导体元件的制造方法,其特征在于,其中沉积该第一介电层是通过执行原子层沉积或低压化学气相沉积。
5.根据权利要求1所述的半导体元件的制造方法,其特征在于,该第一介电层的该非半导体元素的一浓度比该第二介电层更大。
6.根据权利要求1所述的半导体元件的制造方法,其特征在于,该第一介电层的该半导体元素与该非半导体元素的一比例为4:3。
7.一种半导体元件的制造方法,其特征在于,包含:
形成一蚀刻终止层在两个介电栅极间隔物所形成的一开口内,使得该蚀刻终止层位于一源极区域/漏极区域上方,其中形成该蚀刻终止层包含:
在该开口内沉积一第一氮化硅层,其中该开口由下而上变窄;
在该第一氮化硅层上沉积一硅层;
应用一布植制程将氮元素引入至该硅层,该布植制程将该硅层从晶体结构改变为非晶结构,且该氮元素仅透过该布植制程引入至该硅层;以及
对该硅层应用一热退火制程,以将非晶结构的该硅层改变为和该第一氮化硅层相似的一第二氮化硅层,该第一氮化硅层的氮浓度大于该第二氮化硅层的氮浓度;
从该开口中移该除蚀刻终止层;以及
在该开口中形成一金属触点。
8.根据权利要求7所述的半导体元件的制造方法,其特征在于,其中该第二氮化硅层的中间位置中的氮浓度大于该第二氮化硅层的边缘处的氮浓度。
9.一种半导体元件,其特征在于,包含:
两个栅极结构;
两个介电栅极间隔物,分别位于所述两个栅极结构的侧壁上,所述两个介电栅极间隔物定位一开口,该开口具有比宽度更大的一深度;
一源极区域/漏极区域,该开口曝露该源极区域/漏极区域;以及
一蚀刻终止层,设置在该开口内,其中该蚀刻终止层包含:
一第一介电层,沉积在该开口的多个侧壁及一底部上,该第一介电层包含一半导体元素及一非半导体元素,该半导体元素包含硅且该非半导体元素包含氮,该第一介电层经设置使得该开口的一中心具有一缝隙;以及
一第二介电层,设置于该第一介电层上及该缝隙内,该第二介电层包含与该半导体元素相同的一第一元素及与该非半导体元素相同的一第二元素,且该第二介电层中不具有缝隙;
其中该非半导体元素与该半导体元素的一比例大于该第二元素与该第一元素的一比例,且该第一介电层与该第二介电层之间的界面处的浓度具有一下降。
10.根据权利要求9所述的半导体元件,其特征在于,该非半导体元素与该半导体元素的一比例在该第二介电层的一中心处比该第二介电层的边缘处更大。
CN202110708945.9A 2015-12-30 2016-12-30 半导体元件及其制造方法 Pending CN113437023A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562273209P 2015-12-30 2015-12-30
US62/273,209 2015-12-30
US15/290,772 US10084040B2 (en) 2015-12-30 2016-10-11 Seamless gap fill
US15/290,772 2016-10-11
CN201611262843.4A CN106935542A (zh) 2015-12-30 2016-12-30 半导体元件及其制造方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201611262843.4A Division CN106935542A (zh) 2015-12-30 2016-12-30 半导体元件及其制造方法

Publications (1)

Publication Number Publication Date
CN113437023A true CN113437023A (zh) 2021-09-24

Family

ID=59235871

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202110708945.9A Pending CN113437023A (zh) 2015-12-30 2016-12-30 半导体元件及其制造方法
CN201611262843.4A Pending CN106935542A (zh) 2015-12-30 2016-12-30 半导体元件及其制造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201611262843.4A Pending CN106935542A (zh) 2015-12-30 2016-12-30 半导体元件及其制造方法

Country Status (3)

Country Link
US (4) US10084040B2 (zh)
CN (2) CN113437023A (zh)
TW (1) TWI630660B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10084040B2 (en) 2015-12-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Seamless gap fill
JP6690496B2 (ja) * 2016-03-17 2020-04-28 東京エレクトロン株式会社 成膜方法及び成膜装置
JP7061797B2 (ja) * 2016-08-04 2022-05-02 ナノブリッジ・セミコンダクター株式会社 整流素子及び該整流素子を有するスイッチング素子
US10615050B2 (en) * 2017-04-24 2020-04-07 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
US10971391B2 (en) * 2018-06-13 2021-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric gap fill
US11075123B2 (en) * 2019-09-16 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming isolation structure having improved gap-fill capability
US11495464B2 (en) * 2020-07-08 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11842933B2 (en) * 2021-01-15 2023-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5763315A (en) * 1997-01-28 1998-06-09 International Business Machines Corporation Shallow trench isolation with oxide-nitride/oxynitride liner
US7394155B2 (en) 2004-11-04 2008-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Top and sidewall bridged interconnect structure and method
CN101246842A (zh) * 2007-02-16 2008-08-20 中微半导体设备(上海)有限公司 半导体集成电路工艺中形成浅沟槽隔离区域的方法
US20080305609A1 (en) * 2007-06-06 2008-12-11 Hui-Shen Shih Method for forming a seamless shallow trench isolation
US8309407B2 (en) * 2008-07-15 2012-11-13 Sandisk 3D Llc Electronic devices including carbon-based films having sidewall liners, and methods of forming such devices
US8003281B2 (en) 2008-08-22 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd Hybrid multi-layer mask
US7862962B2 (en) 2009-01-20 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout design
US8030173B2 (en) 2009-05-29 2011-10-04 Freescale Semiconductor, Inc. Silicon nitride hardstop encapsulation layer for STI region
KR101062849B1 (ko) 2009-10-30 2011-09-07 주식회사 하이닉스반도체 반도체 장치 및 그 제조 방법
TWI562204B (en) * 2010-10-26 2016-12-11 Hitachi Int Electric Inc Substrate processing apparatus, semiconductor device manufacturing method and computer-readable recording medium
US8621406B2 (en) 2011-04-29 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8664679B2 (en) 2011-09-29 2014-03-04 Toshiba Techno Center Inc. Light emitting devices having light coupling layers with recessed electrodes
US8728332B2 (en) 2012-05-07 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of patterning small via pitch dimensions
US20130320451A1 (en) 2012-06-01 2013-12-05 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Semiconductor device having non-orthogonal element
CN103594413A (zh) * 2012-08-14 2014-02-19 中芯国际集成电路制造(上海)有限公司 一种浅沟槽隔离结构的制作方法
US8987142B2 (en) 2013-01-09 2015-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning method and device formed by the method
US8799834B1 (en) 2013-01-30 2014-08-05 Taiwan Semiconductor Manufacturing Company Limited Self-aligned multiple patterning layout design
KR102037869B1 (ko) * 2013-02-08 2019-10-29 삼성전자주식회사 Sti를 가진 반도체 소자 제조 방법
US9054159B2 (en) 2013-03-14 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a feature of a semiconductor device
US9501601B2 (en) 2013-03-14 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Layout optimization of a main pattern and a cut pattern
US9153478B2 (en) 2013-03-15 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
US20150255324A1 (en) * 2014-03-06 2015-09-10 Applied Materials, Inc. Seamless gap-fill with spatial atomic layer deposition
KR102263045B1 (ko) * 2014-07-25 2021-06-10 삼성전자주식회사 공통 스트레인-완화 버퍼를 구비하는 cmos 장치 및 그 제조 방법
CN104779195A (zh) * 2015-04-15 2015-07-15 上海华力微电子有限公司 一种浅沟槽隔离结构的制备方法
US10410857B2 (en) * 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10084040B2 (en) 2015-12-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Seamless gap fill
US9812319B1 (en) * 2016-07-06 2017-11-07 Asm Ip Holding B.V. Method for forming film filled in trench without seam or void

Also Published As

Publication number Publication date
US20180350906A1 (en) 2018-12-06
US20200295131A1 (en) 2020-09-17
US11798984B2 (en) 2023-10-24
CN106935542A (zh) 2017-07-07
US11239310B2 (en) 2022-02-01
US10672866B2 (en) 2020-06-02
US20220157934A1 (en) 2022-05-19
TW201735170A (zh) 2017-10-01
US20170194424A1 (en) 2017-07-06
US10084040B2 (en) 2018-09-25
TWI630660B (zh) 2018-07-21

Similar Documents

Publication Publication Date Title
US11239310B2 (en) Seamless gap fill
US11171003B2 (en) Doping through diffusion and epitaxy profile shaping
US11854901B2 (en) Semiconductor method and device
US11004973B2 (en) Semiconductor device with contamination improvement
TWI807104B (zh) 半導體裝置及其製造方法
US11049945B2 (en) Semiconductor device structure and method for forming the same
US10269963B2 (en) Semiconductor device structure and method for forming the same
CN114520184A (zh) 半导体器件和方法
US11616133B2 (en) Fin field-effect transistor device and method
CN113594093A (zh) 半导体装置的形成方法
CN113745223A (zh) 半导体器件及其形成方法
CN113206081A (zh) 晶体管栅极及其形成方法
US9721805B1 (en) Formation method of semiconductor device structure
TWI809447B (zh) 半導體結構及其形成方法
KR20240028313A (ko) 볼륨리스 불소 혼입 방법
TW202209452A (zh) 半導體元件及其形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination