CN113169042A - 高温陶瓷部件的原子层沉积涂层 - Google Patents

高温陶瓷部件的原子层沉积涂层 Download PDF

Info

Publication number
CN113169042A
CN113169042A CN201980080609.5A CN201980080609A CN113169042A CN 113169042 A CN113169042 A CN 113169042A CN 201980080609 A CN201980080609 A CN 201980080609A CN 113169042 A CN113169042 A CN 113169042A
Authority
CN
China
Prior art keywords
mol
article
coating
rare earth
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980080609.5A
Other languages
English (en)
Inventor
邬笑炜
J·Y·孙
M·R·赖斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN113169042A publication Critical patent/CN113169042A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • H01L21/0229Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating liquid atomic layer deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/40Coatings including alternating layers following a pattern, a periodic or defined repetition
    • C23C28/42Coatings including alternating layers following a pattern, a periodic or defined repetition characterized by the composition of the alternating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Laminated Bodies (AREA)
  • Compounds Of Alkaline-Earth Elements, Aluminum Or Rare-Earth Metals (AREA)

Abstract

本公开的某些实施例关于经涂布的制品以及涂布制品的方法。在一个实施例中,一种经涂布的制品包括适于在处理腔室中使用的制品,以及形成在制品的外表面和内表面上的涂层。在一个实施例中,涂层包括含稀土金属的陶瓷,并且涂层为基本上均匀的、保形的且无孔的。

Description

高温陶瓷部件的原子层沉积涂层
技术领域
本公开的实施例关于制品、经涂布的腔室部件,以及用陶瓷涂层来涂布腔室部件的方法。陶瓷涂层可包括涂布部件(例如,高温加热器或静电吸盘)的所有表面的含稀土金属的层。可使用非视线技术(诸如,原子层沉积(atomic layer deposition;ALD))形成陶瓷涂层。
背景技术
各种半导体制造工艺使用高温、高能等离子体、腐蚀性气体的混合物、高应力,及其组合。这些极端条件时常导致对腔室部件的侵蚀以及颗粒污染物的产生。
通常通过多种方法将保护性涂层沉积在腔室部件上,该方法诸如,热喷涂、溅镀、等离子体喷涂或蒸镀技术。这些技术大体无法在部件的复杂形貌特征上沉积保形的、均匀的涂层,这对这种部件的形状复杂性强加了设计约束。另外,这些技术大体并不适合于在不显著影响加热器效能的情况下涂布加热器部件,因为将使用相对厚的涂层来实现与通过较薄、缺陷密度较低的膜所提供的加热器保护水平相同的加热器保护水平。
发明内容
本文中的实施例描述用于高温制品的原子层沉积涂层。在一个方面中,一种经涂布的制品包括适于在处理腔室中使用的制品,其中所述制品包括外表面以及内部通道,所述内部通道包括视线沉积工艺无法接近的内表面。经涂布的制品进一步包括涂层,所述涂层形成在制品的外表面和内表面上,所述涂层包括含稀土金属的陶瓷,所述含稀土金属的陶瓷选自由Y2O3、YZrO、YxZryOz、YZrOF、Y3Al5O12、Y4Al2O9、YF3、YxOyFz、YOF、Er2O3、Er3Al5O12、ErF3、ErxOyFz、ErOF、La2O3、Lu2O3、Sc2O3、ScF3、ScOF、Gd2O3、Sm2O3、Dy2O3及其组合所组成的群组。在一些实施例中,涂层为基本上均匀的、保形的且无孔的。
在另一方面中,一种经涂布的制品包括:制品,所述制品适于在处理腔室中使用;非晶碳黏着层,所述非晶碳黏着层形成在制品上;以及涂层,所述涂层形成在所述非晶碳黏着层上,所述涂层包括含稀土金属的陶瓷,所述含稀土金属的陶瓷选自由Y2O3、YZrO、YxZryOz、YZrOF、Y3Al5O12、Y4Al2O9、YF3、YxOyFz、YOF、Er2O3、Er3Al5O12、ErF3、ErxOyFz、ErOF、La2O3、Lu2O3、Sc2O3、ScF3、ScOF、Gd2O3、Sm2O3、Dy2O3及其组合所组成的群组。在一些实施例中,涂层为基本上均匀的、保形的且无孔的。
在另一方面中,一种形成经涂布的制品的方法包括:提供适于在处理腔室中使用的制品,所述制品具有第一热膨胀系数;选择第一含稀土金属的陶瓷化合物与第二含稀土金属的陶瓷化合物的摩尔比率,当通过原子层沉积将包括以所选择的摩尔比率的第一含稀土金属的陶瓷化合物和第二含稀土金属的陶瓷化合物的陶瓷涂层沉积至制品的表面上时,所述摩尔比率导致所述陶瓷涂层具有在第一热膨胀系数的10%以内的第二热膨胀系数;以及执行原子层沉积以在制品的表面上沉积陶瓷涂层。
附图说明
在随附附图的图中藉助于示例而非藉助于限制说明本公开,在随附附图中,相同附图标记指示类似组件。
图1描绘处理腔室的截面图。
图2A描绘根据如本文所述的原子层沉积技术的沉积工艺的一个实施例。
图2B描绘根据如本文所述的原子层沉积技术的沉积工艺的另一实施例。
图2C描绘根据如本文所述的原子层沉积技术的沉积工艺的另一实施例。
图3描绘根据实施例的具有形成于其上的陶瓷涂层的示例性基座。
图4描绘根据实施例的具有形成于其上的陶瓷涂层的示例性加热器组件。
图5图示根据实施例的具有形成于其中的内部通道的示例性部件的横截面侧视图。
图6A图示根据实施例的具有形成于其上的单个层的部件的表面的横截面图。
图6B图示根据实施例的具有形成于其上的多个层的部件的表面的横截面图。
图7图示根据实施例的使用原子层沉积形成陶瓷涂层的方法。
图8为根据实施例的具有形成于其上的黏着层及陶瓷层的石墨基板的透射电子显微照片。
具体实施方式
本文所述实施例涵盖经涂布的制品以及将涂层沉积至制品上的方法。该涂层可以是含稀土金属的层(例如,含钇的氧化物层或含钇的氟化物层)。该涂层或者可以是包括一个或更多个黏着层以及一个或更多个陶瓷层的多层涂层。沉积工艺可以是非视线工艺,诸如,原子层沉积(atomic layer deposition;ALD)工艺。
现有陶瓷加热器和涂布方法具有若干劣势。举例而言,当加热器暴露于等离子体时,例如,当加热器由块状涂有陶瓷AlN、Al2O3或SiC的石墨形成时,通常会导致高的溅射产量及侵蚀率。涂有SiC和TaC的石墨加热器(例如)与含氟化学物质不兼容。等离子体喷涂和涂有SiC的石墨加热器并非保形的,这对其形状复杂性强加了设计约束。此外,对现有加热器涂层而言,热膨胀系数(coefficient of thermal expansion;CTE)难以调谐,从而限制了其在高温下具有不同CTE的基板上的应用,并且大多数加热器涂层皆为厚涂层,这加剧了此CTE失配问题。
本公开的实施例解决了现有加热器技术的若干问题。举例而言,本公开的实施例的优势包括稀土氧化物和氧氟化物ALD涂层为加热器、静电吸盘和具有复杂几何形状的其他部件提供保形覆盖的能力,该复杂几何形状包括复杂的、高深宽比的内部几何形状,该内部几何形状否则将无法经由视线沉积技术达成。进一步,稀土氧化物和氧氟化物ALD涂布的加热器在高能等离子体轰击下表现出低的溅镀速率,并且极度地耐化学/自由基侵袭(例如,来自NF3自由基)所引起的降解。与利用厚得多的涂层且因此在存在CTE失配的情况下会产生更高应力的其他涂布技术相比较而言,涂布有稀土氧化物和氧氟化物ALD的加热器也可承受(例如,暴露于其中而不受损坏)更高温度。涂布有稀土氧化物和氧氟化物ALD的加热器也自然地表现出非常高的抗氧化性能。在实施例中,通过调谐ALD涂层中不同构成材料的比率(例如,两种或更多种金属的摩尔比率),ALD涂层进一步允许涂层CTE匹配不同基板的CTE的可保持状态。
加热器可由氮化铝(AlN)材料或具有相当的耐化学性以及机械、热学和电学性质的其他适当材料形成。加热器材料可具有内嵌于其中的金属丝(例如,钨丝)以供电。在实施例中,加热器材料可以是AlN陶瓷、碳化硅(SiC)陶瓷、氧化铝(Al2O3)陶瓷、石墨或其任何组合。不同加热器材料可具有不同的反应性质,使得当暴露于高温、低真空压力和侵蚀性化学物质时,一种成分可形成具有比另一成分高的蒸气压的反应物。举例而言,当具有AlN材料陶瓷的典型高温加热器在高温(例如,高达约650℃)和真空条件(例如,约50毫托至约200毫托)下暴露于三氟化氮(NF3)等离子体时,反应产生三氟化铝(AlF3),其具有约为log(p/kpa)=11.70–14950(T/K)的蒸气压。AlF3因此能够升华并沉积至腔室内的其他部件上。在后续工艺步骤期间,所沉积材料可能自其他腔室部件剥落或脱落,或以其他方式脱离该其他腔室部件,并作为颗粒沉积至其中的晶片上,从而导致缺陷。
ALD允许经由与制品的表面的化学反应达成受控制的自限制性材料沉积。除了是保形工艺以外,ALD也为均匀工艺,并且能够形成极薄的膜,例如,具有约3nm或更大的厚度。制品的所有暴露表面将具有相同或大致相同的沉积材料量。如本文中所述,加热器可具有与未经涂布的加热器相同或大体上相同的热导率和热容量。ALD工艺的典型反应循环开始于将前驱物(即,单一化学物质A)注入至ALD腔室中并吸附至制品的表面上(包括制品内的孔壁的表面)。接着将过量前驱物自ALD腔室中冲出,然后反应物(即,单一化学物质R)引入ALD腔室中并随后冲出。对于ALD而言,材料的最终厚度取决于运行的反应循环的数目,因为每一反应循环将生长出某一厚度的层,该层可以是原子层或原子层的小部分。
ALD技术可在相对低的温度下(例如,约25℃至约350℃)沉积材料的薄层,使得其不会损坏部件的任何材料或使部件的任何材料变形。另外,ALD技术也可在部件的复杂特征(例如,高深宽比的特征)内沉积材料层。另外,ALD技术大体产生相对薄(即,1μm或更小)的无孔(即,无针孔)涂层,这可消除沉积期间的裂纹形成。
图1是根据实施例的具有涂布有涂层的一个或更多个腔室部件的半导体处理腔室100的截面图。处理腔室100的基底材料可包括铝(Al)、钛(Ti)和不锈钢(SST)中的一者或更多者。处理腔室100可用于其中提供具有等离子体处理条件的腐蚀性等离子体环境的工艺。举例而言,处理腔室100可以是用于等离子体蚀刻机或等离子体蚀刻反应器、等离子体清洁器、等离子体增强化学气相沉积(chemical vapor deposition;CVD)或ALD反应器等等的腔室。可包括涂层(例如,陶瓷涂层)的腔室部件的示例包括基板支撑组件148、静电吸盘(electrostatic chuck;ESC)组件150、环(例如,处理套件环或单环)、腔室壁、基底、气体分配板、喷头、衬垫、衬垫套件、护罩、等离子体屏蔽(plasma screen)、均流器、冷却基底、腔室观察孔、腔室盖,等等。可使用各种技术将涂层沉积在部件上,该技术诸如,ALD、溅镀、离子辅助沉积、等离子体喷涂、CVD或如本领域技术人员将构想到的其他适当技术。如图所示,根据一个实施例,基板支撑组件148具有陶瓷涂层136。然而,应理解,其他腔室部件(诸如,以上所列出的那些)中的任一者也可包括涂层。
可包括涂层的腔室部件的另一示例为高温加热器组件。在一些实施例中,通过ALD来施加以下更详细描述的涂层。ALD允许在具有复杂形状和具有高的深宽比的特征的所有类型的部件上施加大体上均匀厚度的无孔的保形涂层。
在一些实施例中,可使用ALD利用含稀土金属的前驱物以及由氧、氟或氮组成或含有氧、氟或氮的反应物生长或沉积包括稀土金属的涂层。含稀土金属的前驱物可含有钇、铒、镧、镏、钪、钆、钐或镝。可另外或替代地使用ALD利用用于沉积黏着层的前驱物(该黏着层具有与待沉积的下面的组分材料相同或相似的材料)生长或沉积该涂层。举例而言,含铝前驱物和含氮反应物可用于形成AlN,或含铝前驱物和含氧反应物可用以形成氧化铝(Al2O3)。可使用ALD利用含有稀土金属的一种或更多种前驱物在黏着层的顶部上生长或沉积堆叠层或耐磨层。在一些实施例中,可使用溅镀、离子辅助沉积、等离子体喷涂或CVD来沉积耐磨层。堆叠层可具有含稀土金属的材料和另一氧化物、氟化物或氮化物材料(诸如,Al2O3、AlN、Y2O3或YF3)的交替薄层。
在一个实施例中,含稀土金属的层具有多晶结构。在另一实施例中,含稀土金属的层可具有非晶形结构。含稀土金属的层可包括钇、铒、镧、镏、钪、钆、钐和/或镝。举例而言,含稀土金属的层可包括氧化钇(Y2O3)、氟化钇(YF3)、氧氟化钇(YxOyFz)、氧化钇锆(YZrO)、氧化铒(Er2O3)、氟化铒(ErF3)、氧氟化铒(ErxOyFz)、氧化铒铝(Er3Al5O12)、氧化镝(Dy2O3)、氟化镝(DyF3)、氧氟化镝(DyxOyFz)、氧化钆(Gd2O3)、氟化钆(GdF3)、氧氟化钆(GdxOyFz)、氧化钪(Sc2O3)、氟化钪(ScF3)、氧氟化钪(ScOF),等等。在实施例中,含稀土金属的层为多晶的Y2O3、YF3或YxOyFz。在其他实施例中,稀土金属层为非晶的Y2O3、YF3或YxOyFz。在实施例中,含稀土金属的材料可与另一材料一起共同沉积。举例而言,含稀土金属的氧化物可与一种或更多种其他稀土化合物混合,其他稀土化合物诸如,Y2O3、氧化钆(Gd2O3)和/或铒(例如,Er2O3)。用于涂层的含钇氧化物可以是(例如)YxDyyOz、YxGdyOz或YxEryOz。含钇氧化物可以是具有立方结构的Y2O3,该立方结构具有空间群Ia-3(206)。下标x、y及z可独立地在(例如)0.1至10的范围内。
在一个实施例中,含稀土金属的层为Y2O3、YxZryOz、YZrOF、Y3Al5O12(YAG)、Y4Al2O9(YAM)、YF3、YxOyFz、Er2O3、Er3Al5O12(EAG)、ErF3、ErxOyFz、La2O3、Lu2O3、Sc2O3、ScF3、ScxOyFz、Gd2O3、Sm2O3、Dy2O3或Nd2O3中的一者。含稀土金属的层也可以是YAlO3(YAP)、Er4Al2O9(EAM)、ErAlO3(EAP)、Gd4Al2O9、GdAlO3、Nd3Al5O12、Nd4Al2O9、NdAlO3、由Y4Al2O9和Y2O3-ZrO2的固溶体组成的陶瓷化合物、或本文所述的任何其他涂层成分、镧、镏、钪、钆、钐或镝的其他三元变体、或本文所论述的任何其他含稀土金属的化合物。前述含稀土金属的材料中的任一者可包括痕量的其他材料,诸如,ZrO2、Al2O3、SiO2、B2O3、Er2O3、Nd2O3、Nb2O5、CeO2、Sm2O3、Yb2O3或其他氧化物。
在一些实施例中,含稀土金属的层以选自以下成分的量包括Y2O3、ZrO2和/或Al2O3:Y2O3在50mol%至75mol%的范围内,ZrO2在10mol%至30mol%的范围内,且Al2O3在10mol%至30mol%的范围内;Y2O3在40mol%至99mol%的范围内,ZrO2在1mol%至60mol%的范围内,且Al2O3在0mol%至10mol%的范围内;Y2O3在60mol%至75mol%的范围内,ZrO2在20mol%至30mol%的范围内,且Al2O3在0mol%至5mol%的范围内;Y2O3在60mol%至70mol%的范围内,ZrO2在30mol%至40mol%的范围内,且Al2O3在0mol%至10mol%的范围内;Y2O3在50mol%至60mol%的范围内且ZrO2在40mol%至50mol%的范围内;Y2O3在40mol%至60mol%的范围内,ZrO2在30mol%至50mol%的范围内,且Al2O3在10mol%至20mol%的范围内;Y2O3在40mol%至50mol%的范围内,ZrO2在20mol%至40mol%的范围内,且Al2O3在20mol%至40mol%的范围内;Y2O3在70mol%至90mol%的范围内,ZrO2在0mol%至20mol%的范围内,且Al2O3在10mol%至20mol%的范围内;Y2O3在60mol%至80mol%的范围内,ZrO2在0mol%至10mol%的范围内,且Al2O3在20mol%至40mol%的范围内;Y2O3在40mol%至60mol%的范围内,ZrO2在0mol%至20mol%的范围内,且Al2O3在30mol%至40mol%的范围内;Y2O3在30mol%至60mol%的范围内,ZrO2在0mol%至20mol%的范围内,且Al2O3在30mol%至60mol%的范围内;Y2O3在20mol%至40mol%的范围内,ZrO2在20mol%至80mol%的范围内,且Al2O3在0mol%至60mol%的范围内;Y2O3在0mol%至10mol%的范围内,ZrO2在20mol%至30mol%的范围内,且Al2O3在50mol%至60mol%的范围内;Y2O3在0mol%至10mol%的范围内,ZrO2在20mol%至30mol%的范围内,且Al2O3在40mol%至50mol%的范围内;Y2O3在0mol%至10mol%的范围内,ZrO2在10mol%至20mol%的范围内,且Al2O3在50mol%至60mol%的范围内;Y2O3在0mol%至10mol%的范围内,ZrO2在10mol%至20mol%的范围内,且Al2O3在40mol%至50mol%的范围内;Y2O3在10mol%至20mol%的范围内,ZrO2在20mol%至30mol%的范围内,且Al2O3在50mol%至60mol%的范围内;Y2O3在10mol%至20mol%的范围内,ZrO2在20mol%至30mol%的范围内,且Al2O3在40mol%至50mol%的范围内;Y2O3在10mol%至20mol%的范围内,ZrO2在10mol%至20mol%的范围内,且Al2O3在50mol%至60mol%的范围内;Y2O3在10mol%至20mol%的范围内,ZrO2在10mol%至20mol%的范围内,且Al2O3在40mol%至50mol%的范围内;Y2O3在0mol%至10mol%的范围内,ZrO2在40mol%至50mol%的范围内,且Al2O3在10mol%至20mol%的范围内;Y2O3在0mol%至10mol%的范围内,ZrO2在40mol%至50mol%的范围内,且Al2O3在20mol%至30mol%的范围内;Y2O3在0mol%至10mol%的范围内,ZrO2在50mol%至60mol%的范围内,且Al2O3在10mol%至20mol%的范围内;Y2O3在0mol%至10mol%的范围内,ZrO2在50mol%至60mol%的范围内,且Al2O3在20mol%至30mol%的范围内;Y2O3在10mol%至20mol%的范围内,ZrO2在40mol%至50mol%的范围内,且Al2O3在10mol%至20mol%的范围内;Y2O3在10mol%至20mol%的范围内,ZrO2在40mol%至50mol%的范围内,且Al2O3在20mol%至30mol%的范围内;Y2O3在10mol%至20mol%的范围内,ZrO2在50mol%至60mol%的范围内,且Al2O3在10mol%至20mol%的范围内;Y2O3在10mol%至20mol%的范围内,或ZrO2在50mol%至60mol%的范围内,且Al2O3在20mol%至30mol%的范围内。
在一些实施例中,含稀土金属的层以选自以下成分的量包括Y2O3和ZrO2:Y2O3在1mol%至99mol%的范围内且ZrO2在1mol%至99mol%的范围内;Y2O3在1mol%至50mol%的范围内且ZrO2在50mol%至99mol%的范围内;Y2O3在50mol%至99mol%的范围内且ZrO2在1mol%至50mol%的范围内;Y2O3在50mol%至75mol%的范围内且ZrO2在25mol%至50mol%的范围内;Y2O3在40mol%至61mol%的范围内且ZrO2在39mol%至60mol%的范围内;Y2O3在65mol%至99mol%的范围内且ZrO2在1mol%至35mol%的范围内;Y2O3在65mol%至80mol%的范围内且ZrO2在20mol%至35mol%的范围内;Y2O3在60mol%至70mol%的范围内且ZrO2在30mol%至40mol%的范围内;Y2O3在1mol%至11mol%的范围内且ZrO2在89mol%至99mol%的范围内;Y2O3在11mol%至21mol%的范围内且ZrO2在79mol%至89mol%的范围内;Y2O3在21mol%至31mol%的范围内且ZrO2在69mol%至79mol%的范围内;Y2O3在31mol%至41mol%的范围内且ZrO2在59mol%至69mol%的范围内;Y2O3在41mol%至51mol%的范围内且ZrO2在49mol%至59mol%的范围内;Y2O3在51mol%至61mol%的范围内且ZrO2在39mol%至49mol%的范围内;Y2O3在61mol%至71mol%的范围内且ZrO2在29mol%至39mol%的范围内;Y2O3在71mol%至81mol%的范围内且YF3在19mol%至29mol%的范围内;Y2O3在81mol%至91mol%的范围内且YF3在9mol%至19mol%的范围内;或Y2O3在91mol%至99mol%的范围内且YF3在1mol%至9mol%的范围内。
在一些实施例中,含稀土金属的层以选自以下成分的量包括Y2O3、ZrO2、Er2O3、Gd2O3和/或SiO2:Y2O3在40mol%至45mol%的范围内,ZrO2在0mol%至10mol%的范围内,Er2O3在35mol%至40mol%的范围内,Gd2O3在5mol%至10mol%的范围内,且SiO2在5mol%至15mol%的范围内;Y2O3在30mol%至60mol%的范围内,ZrO2在0mol%至20mol%的范围内,Er2O3在20mol%至50mol%的范围内,Gd2O3在0mol%至10mol%的范围内,且SiO2在0mol%至30mol%的范围内;或Y2O3在30mol%至45mol%的范围内,ZrO2在5mol%至15mol%的范围内,Er2O3在25mol%至60mol%的范围内,且Gd2O3在0mol%至25mol%的范围内。
在一些实施例中,含稀土金属的层以选自以下成分的量包括Y2O3和YF3:Y2O3在1mol%至99mol%的范围内且YF3在1mol%至99mol%的范围内;Y2O3在1mol%至10mol%的范围内且YF3在90mol%至99mol%的范围内;Y2O3在11mol%至20mol%的范围内且YF3在80mol%至89mol%的范围内;Y2O3在21mol%至30mol%的范围内且YF3在70mol%至79mol%的范围内;Y2O3在31mol%至40mol%的范围内且YF3在60mol%至69mol%的范围内;Y2O3在41mol%至50mol%的范围内且YF3在50mol%至59mol%的范围内;Y2O3在51mol%至60mol%的范围内且YF3在40mol%至49mol%的范围内;Y2O3在61mol%至70mol%的范围内且YF3在30mol%至39mol%的范围内;Y2O3在71mol%至80mol%的范围内且YF3在20mol%至29mol%的范围内;Y2O3在81mol%至90mol%的范围内且YF3在10mol%至19mol%的范围内;Y2O3在91mol%至99mol%的范围内且YF3在1mol%至9mol%的范围内。
应理解,前述范围为说明性的,且每一化合物的极值可能存在一些变化。举例而言,“Y2O3在41mol%至50mol%的范围内”的叙述应理解为包括从41mol%且包括41mol%至高达50mol%且包括50mol%以及从约41mol%至高达约50mol%的所有可能量的Y2O3,以考虑到近似值和测量不确定度。
再次参考图1,在一个实施例中,处理腔室100包括封闭内部容积106的腔室主体102和喷头130。喷头130可包括喷头基底和喷头气体分配板。或者,在一些实施例中可由盖和喷嘴来替代喷头130,或在其他实施例中由多个饼形喷头隔间和等离子体产生单元来替代喷头130。腔室主体102可由铝、不锈钢或其他合适材料(诸如,钛)制造。腔室主体102大体包括侧壁108和底部110。喷头130(或盖和/或喷嘴)、侧壁108和/或底部110中的一者或更多者可包括涂层。
外衬垫116可安置成与侧壁108相邻,以保护腔室主体102。外衬垫116可制造有涂层和/或涂布有涂层。在一个实施例中,外衬垫116由氧化铝制造。
排气口126可被限定在腔室主体102中,且可将内部容积106耦接至泵系统128。泵系统128可包括一个或更多个泵和节流阀,用以排空处理腔室100的内部容积106并调节处理腔室100的内部容积106的压力。
喷头130可被支撑在腔室主体102的侧壁108上。喷头130(或盖)可打开以允许进入处理腔室100的内部容积106,并可在关闭时为处理腔室100提供密封。气体板158可耦接至处理腔室100以通过喷头130或盖和喷嘴将处理气体和/或清洁气体提供至内部容积106。喷头130可用于用于电介质蚀刻(介电材料的蚀刻)的处理腔室。喷头130包括气体分配板(gasdistribution plate;GDP)133,该气体分配板(GDP)133具有贯穿GDP133的多个输气孔132。喷头130可包括黏合至铝或阳极化氧化铝喷头基底104的GDP 133。GDP 133可由Si或SiC制成,或可以是诸如Y2O3、Al2O3、YAG等等的陶瓷。
对于用于导体蚀刻(导电材料的蚀刻)的处理腔室而言,可使用盖而不是喷头。该盖可包括适配至盖的中心孔中的中心喷嘴。盖可以是诸如Al2O3、Y2O3、YAG的陶瓷,或由Y4Al2O9和Y2O3-ZrO2的固溶体组成的陶瓷化合物。喷嘴也可以是诸如Y2O3、YAG的陶瓷,或由Y4Al2O9和Y2O3-ZrO2的固溶体组成的陶瓷化合物。盖、喷头基底104、GDP 133和/或喷嘴可以可选地涂布有陶瓷涂层。
可用以在处理腔室100中处理基板的处理气体的示例包括含卤素气体,诸如,除了其他以外,C2F6、SF6、SiCl4、HBr、NF3、CF4、CHF3、CH2F3、F、NF3、Cl2、CCl4、BCl3和SiF4,以及诸如O2或N2O的其他气体。涂层可抵抗来自这些气体中的一些或全部和/或由此些气体产生的等离子体的侵蚀。载气的示例包括N2、He、Ar,和对处理气体呈惰性的其他气体(例如,非反应性气体)。
基板支撑组件148设置在处理腔室100的内部容积106中,在喷头130或盖下方。基板支撑组件148在处理期间保持基板144。环146(例如,单个环)可覆盖ESC组件150的一部分,并且可以在处理期间保护被覆盖部分免于暴露于等离子体。在一个实施例中,环146可以是硅或石英。
内衬垫118可涂布在基板支撑组件148的周边上。内衬垫118可以是抗含卤素气体的材料,诸如,参考外衬垫116所论述的那些。在一个实施例中,内衬垫118可由与外衬垫116的材料相同的材料制造。另外,内衬垫118可涂布有陶瓷涂层。
在一个实施例中,基板支撑组件148包括支撑底座152的装配板162和ESC组件150。ESC组件150进一步包括导热基底164以及通过黏合件138(在一个实施例中其可以是硅树脂黏合件)黏合至导热基底的静电圆盘166。在所图示实施例中,静电圆盘166的上部表面被陶瓷涂层136覆盖。在一个实施例中,陶瓷涂层136设置在静电圆盘166的上部表面上。在另一实施例中,陶瓷涂层136设置在ESC组件150的整个暴露表面上,包括导热基底164和静电圆盘166的外部和侧周边。装配板162耦接至腔室主体102的底部110,且包括用于将公用设施(例如,流体、电力线、传感器引线,等等)路由至导热基底164和静电圆盘166的通道。
导热基底164和/或静电圆盘166可包括一个或更多个可选内嵌式加热组件176、内嵌式热隔离件174和/或导管168、170,以控制基板支撑组件148的横向温度轮廓。导管168、170可流体地耦接至流体源172,该流体源172使得温度调节流体经由导管168、170循环。在一个实施例中,内嵌式隔离件174可设置在导管168、170之间。通过加热器电源178调节加热组件176。导管168、170和加热组件176可用于控制导热基底164的温度,从而加热和/或冷却静电圆盘166和正处理的基板(例如,晶片)144。可使用多个温度传感器190、192来监控静电圆盘166和导热基底164的温度,可使用控制器195来监控该多个温度传感器190、192。
静电圆盘166可进一步包括可形成在圆盘166和/或陶瓷涂层136的上部表面中的多个气体通道,诸如,凹槽、台面和其他表面特征。气体通道可经由在圆盘166中钻出的孔流体地耦接至传热(或背侧)气体(诸如氦)的源。在操作中,可在受控压力下将背侧气体提供至气体通道中,以增强静电圆盘166与基板144之间的传热。静电圆盘166包括受吸附电源182控制的至少一个夹持电极180。电极180(或设置在圆盘166或基底164中的其他电极)可进一步经由匹配电路188耦接至一个或更多个RF电源184、186,用于在处理腔室100内维持由处理和/或其他气体形成的等离子体。电源184、186通常能够产生RF信号,该RF信号具有自约50kHz至约3GHz的频率,连同高达约10,000瓦特的功率输出。
ALD技术使得在腔室部件的表面上以及具有复杂几何形状(包括经由传统的视线沉积技术无法达到的内部几何形状)的特征上实现有相对均匀厚度和零孔隙度(即,无孔隙度)的保形涂层。该涂层可以是抗等离子体的,以减少等离子体相互作用并改善部件的耐用性,而不会影响其性能。通过ALD沉积的薄涂层可维持部件的电学性质和相对形状以及几何形状配置,以便不会扰乱其功能。涂层也可减小部件的材料的挥发性,并且可形成具有比部件的下面的材料低的蒸汽压的反应物。
涂层对等离子体的抗性可经由贯穿被涂布的部件的操作和暴露于等离子体的持续时间的“蚀刻速率(etch rate;ER)”来测量,该蚀刻速率可具有微米/小时(μm/hr)或埃/小时
Figure BDA0003101816420000131
的单位。可在不同处理时间之后进行测量。举例而言,可在处理之前,或在约50个处理小时,或在约150个处理小时,或在约200个处理小时等等进行测量。生长或沉积在加热器支撑件和/或其他部件上的涂层的成分变化可能导致多个不同的等离子体抗性或侵蚀速率值。另外,暴露于各种等离子体的具有单一成分的涂层可能具有多种不同的等离子体抗性或侵蚀速率值。举例而言,抗等离子体材料可具有与第一类型的等离子体相关联的第一电极抗性或侵蚀速率,以及与第二类型的等离子体相关联的第二等离子体抗性或侵蚀速率。
图2A描绘用于在制品(例如,加热器支撑件、整个加热器组件、静电吸盘的部分,等等)上生长或沉积涂层的根据ALD技术的沉积工艺200的一个实施例。图2B描绘用于在制品上生长或沉积多层抗等离子体涂层的根据ALD技术的沉积工艺201的一个实施例。图2C描绘根据如本文所述的ALD技术的沉积工艺202的另一实施例。
存在各种类型的ALD工艺,并且可基于若干因素(诸如,待涂布的表面、涂布材料、表面与涂布材料之间的化学相互作用,等等)来选择特定类型。各种ALD工艺的一般原理包括通过将待涂布的表面以自限性方式一次一个地反复暴露于与表面化学地反应的气态化学前驱物的脉冲来生长薄膜层。
图2A至图2C图示具有表面的制品210。制品210可表示半导体工艺腔室部件的各种材料,该半导体工艺腔室部件包括但不限于高温加热器支撑件和/或在处理腔室内的加热器组件的所有表面。制品210可由包括AlN、介电材料(诸如,陶瓷)、金属-陶瓷复合物(例如,Al2O3/SiO2、Al2O3/MgO/SiO2、SiC、Si3N4、AlN/SiO2等等)、金属(诸如,铝、不锈钢)、石墨或其他适当材料的材料制成,并且可进一步包括诸如AlN、Si、SiC、Al2O3、SiO2等的材料。在一个实施例中,制品210为高温加热器,该高温加热器包括具有约50W/mK至约300W/mK、或约100W/mK至约250W/mK、约150W/m至约200W/mK、或约180W/mK的热导率的加热器材料。该加热器材料也可具有25℃下约0.15cal/g-℃至25℃下约0.30cal/g-℃、或25℃下约0.20cal/g-℃至25℃下约0.25cal/g-℃、或25℃下约0.25cal/g-℃的比热容。加热器材料也可具有约4.6μm/m-℃至约5.7μm/m-℃的CTE。在一个实施例中,制品210为(例如)由AlN陶瓷材料制造的用于半导体工艺腔室的高温加热器。这种材料在清洁期间与工艺腔室中的氟等离子体(例如,NF3)反应,并形成AlF3。AlF3具有高蒸汽压,使得该反应物升华并沉积在腔室内的其他部件上。在后续工艺阶段期间,所沉积的AlF3脱落、剥落或以其他方式脱离其他腔室部件并用颗粒污染其中的晶片。
对于ALD而言,前驱物至表面上的吸附或反应物与被吸附的前驱物的反应可称作“半反应”。在第一半反应期间,将前驱物脉冲至制品210的表面上长达足以允许前驱物完全吸附至该表面上的时间段。吸附为自限性的,因为前驱物将吸附至表面上的有限数目个可用位点上,从而在表面上形成均匀的连续吸附层。除非和/或直至吸附位点经受处理(该处理将在均匀的连续涂层上形成新的可用位点),已被前驱物吸附的任何位点将变得不可用于进一步吸附同一前驱物。示例性处理可以是等离子体处理、通过使均匀的连续吸附层暴露于自由基进行的处理,或引入能够与吸附至表面的最新均匀的连续层反应的不同前驱物。
在一些实施例中,一起注入两种或更多种前驱物并使其吸附至制品210的表面上。抽出过量前驱物,并且接着注入含氧反应物(或含氟反应物),以使其与被吸附物反应,以便形成(例如,Y2O3-Al2O3)部件层。此新鲜层准备好在下一循环中吸附前驱物。
在图2A中,制品210可被引入至第一前驱物260长达第一持续时间,直至制品210的表面完全被第一前驱物260吸附为止,以便形成吸附层214。随后,制品210可被引入至第一反应物265以便与吸附层214反应从而生长固体层216(例如,使得层216被完全生长或沉积,其中术语生长及沉积可在本文中互换地使用)。对于单层涂层而言,第一前驱物260可以是用于含稀土金属的材料(诸如,Y2O3、YF3或YxOyFz)的前驱物。前驱物的示例包括钇前驱物、锆前驱物、铒前驱物,等等。当使用黏着层时,在一些实施例中,第一前驱物260可以是含Al的前驱物。若层216为氧化物,则第一反应物265可以是氧、水蒸汽、臭氧、氧自由基或另一氧源。若层216含有AlN,则第一反应物265可以是(例如)NH3氮自由基或其他氮源。若层216为氟化物,则可使用含氟反应物。因此,可使用ALD形成层216。层216可以是单层涂层,或可以是多层涂层的一层(即,黏着层)。
在其中层216为AlN黏着层的示例中,制品210(例如,高温加热器的表面)可被引入至第一前驱物260(例如,三甲基铝或TMA前驱物)长达第一持续时间,直到制品表面上的所有反应位点皆被消耗为止。冲去剩余的第一前驱物260,且接着将NH3的第一反应物265注入至反应器中以开始第二半循环。在NH3分子与第一半反应所产生的含Al吸附层反应之后,形成AlN的层216。
层216可以是均匀的、连续的且保形的。在实施例中,层216可能为无孔的(例如,具有为零的孔隙度)或具有大约为零的孔隙度(例如,0%至0.01%的孔隙度)。在一些实施例中,在单个ALD沉积循环之后,层216可具有小于一个原子层至几个原子的厚度。一些金属有机前驱物分子大。在与反应物265反应之后,大的有机配体可能不再存在,留下小得多的金属原子。一个完整ALD循环(例如,包括引入前驱物260,其后引入反应物265)可导致形成具有小于单个晶胞的平均厚度的层。举例而言,通过TMA和NH3生长的AlN单层通常具有约1.0A/循环的生长速率,而AlN晶格常数为a=3.111A且c=4.981A(对于六方结构而言)。
可实施多个完整的ALD沉积循环,以沉积较厚的层216,其中每一完整循环(例如,包括引入前驱物260、冲去、引入反应物265并再次冲去)将厚度增大了一原子至几原子的额外部分。如所示出,可执行高达n个完整循环以生长层216,其中n为大于1的整数值。在实施例中,层216可具有约5nm至约10μm、或约25nm至约5μm、或约50nm至约500nm、或约75nm至约200nm的厚度。在一些实施例中,涂层的厚度可以是约50nm、或约75nm、或约100nm、或约125nm,或约150nm。若层216为黏着层,则黏着层的厚度可以是约1nm至约50nm、或约2nm至约25nm,或约5nm至约10nm。在某些实施例中,黏着层的厚度为约1nm、或约5nm、或约10nm,或约15nm。
当层216为包括一种或更多种含稀土金属的材料的涂层时,层216提供稳固的等离子体抗性和机械性质,而不会显著地影响加热器的热学和电学性质。层216可保护部件免受侵蚀,增强或维持介电强度,并且可在高达约500℃、或高达约550℃,或自约500℃至约550℃的温度下抗裂。当层216为黏着层时,其可改善含稀土金属的层(或堆叠层)对部件的黏着,并防止在高达约650℃的温度下涂层开裂。
图2B描述沉积工艺201,该沉积工艺201包括如参考图2A所描述的层216作为黏着或阻挡层的沉积。然而,图2B的沉积工艺201进一步包括额外层220的沉积,以形成多层抗等离子体涂层。因此,在层216完成之后,可将具有层216的制品210引入至额外的一种或更多种前驱物270长达第二持续时间,直至层216被一种或更多种额外前驱物270完全吸附为止,以便形成吸附层218。随后,制品210可被引入至反应物275,以与吸附层218反应,以便生长固体含稀土金属的氧化物层220,为了简化也称作第二层220(例如,使得完全生长或沉积第二层220)。在此实施例中,层216可以是含AlN的黏着层或阻挡层。因此,使用ALD在层216上方完全地生长或沉积第二层220。在示例中,前驱物270可以是在第一半循环中使用的含钇前驱物,并且反应物275可以是在第二半循环中使用的H2O。
第二层220可形成含钇的氧化物层或其他含稀土金属的氧化物层,其可以是均匀的、连续的且保形的。第二层220可具有极低孔隙度,该极低孔隙度在实施例中低于1%,且在另外的实施例中低于0.1%,且在实施例中为约0%或在又另外的实施例中无孔隙。在单个完整的ALD沉积循环之后,第二层220可具有低于一原子至几原子(例如,2至3个原子)的厚度。可实施多个ALD沉积阶段以沉积较厚的第二层220,其中每一阶段将厚度增加了一原子至几原子的额外部分。如所示出,可将完整沉积循环重复m次,以使第二层220具有目标厚度,其中m为大于1的整数值。在实施例中,第二层220可具有约5个ALD循环(例如,约
Figure BDA0003101816420000171
/循环及两个半反应)至约5μm的厚度。若第二层220为堆叠层的第一层,则其可具有约5个ALD循环至约500nm、或约6个ALD循环至约250nm、或约7个ALD循环至约100nm,或约8个ALD循环至约50nm的厚度。在实施例中,堆叠的第二层220的厚度为约5个ALD循环至约15个ALD循环,或约6个ALD循环至约14个ALD循环,或约7个ALD循环至约13个ALD循环,或约8个ALD循环至约10个ALD循环。
第二层220厚度与层216厚度的比率可以是200:1至1:200。第二层220厚度与层216厚度的较高比率(例如,200:1、100:1、50:1、20:1、10:1、5:1、2:1等)提供较佳的抗腐蚀性与抗侵蚀性,而第二层220厚度与层216厚度的较低比率(例如,1:2、1:5、1:10、1:20、1:50、1:100、1:200)提供了较佳的耐热性(例如,提高的对由热循环引起的开裂和/或分层的抵抗性)。
第二层220可以是本文所论述的含稀土金属的氧化物层中的任一者。举例而言,第二层220可以是Y2O3、YF3或YxOyFz,单独地或与一种或更多种其他稀土金属材料组合。在一些实施例中,第二层220为由已通过ALD共同沉积的至少两种含稀土金属之前驱物的混合物(例如,Y2O3、Er2O3及Al2O3中的一者或更多者的组合)形成的单相材料。举例而言,第二层220可以是YxEryOz或YxAlyOz中的一者。在一个实施例中,层216为非晶AlN,且第二层220为多晶的或非晶的含钇氧化物化合物(例如,Y2O3、YxAlyOz、YxEryOz等),单独地或与一种或更多种其他含稀土金属的材料呈单相。在另一实施例中,制品210为石墨制品,层216为非晶碳,且第二层220为多晶的或非晶的含钇化合物(例如,Y2O3、YZrO、YF3、YxAlyOz、YxEryOz等)。层216可不仅增强黏着性,而且也充当在沉积含钇氧化物层之前沉积的应力释放层。
在一些实施例中,第二层220可包括Er2O3、Y2O3或Al2O3。在一些实施例中,第二层220为ErxAlyOz(例如,Er3Al5O12)、YxAlyOz、YxEryOz或EraYxAlyOz(例如,Y2O3、Al2O3和Er2O3的单相固溶体)中的至少一者的多组分材料。
在一些实施例中,层216的厚度可以是约1nm至约50nm,或约2nm至约25nm,或约5nm至约10nm。在某些实施例中,层216的厚度为约1nm、或约5nm、或约10nm,或约15nm。含稀土金属的层的厚度可以是约5nm至约10μm、或约25nm至约5μm、或约50nm至约500nm、或约75nm至约200nm。在一些实施例中,第二层220的厚度可以是约50nm、或约75nm、或约100nm,或约125nm,或约150nm。在某些实施例中,包括层216和第二层220的涂层的总厚度可以是约50nm、或约75nm、或约100nm、或约125nm、或约150nm。
参考图2C,在一些实施例中,多层涂层含有两层以上。具体而言,涂层可包括堆叠,该堆叠包括另一材料(例如,AlN、ZrO、Y2O3或本文所公开的其他化合物)与含稀土金属的氧化物层的一系列交替层,或该涂层可包括层216和用于含稀土金属的氧化物层的一系列交替层。在一些实施例中,含稀土金属的氧化物层为交替子层的层。举例而言,含稀土金属的氧化物层可以是Y2O3与AlN的一系列交替子层、Y2O3与ZrO2的一系列交替子层、或Y2O3与Al2O3的一系列交替子层。
参考图2C,具有层216的制品210可插入至沉积腔室中。可以已经如参考图2A或图2B所阐述地形成了层216。制品210可被引入至含有一种或更多种含稀土金属的材料的一种或更多种前驱物280长达一持续时间,直至层216完全吸附一种或更多种前驱物280为止,以便形成层222。随后,可将制品210引入至反应物282以与层222反应,从而生长层224。因此,使用ALD在层216上方完全地生长或沉积含稀土金属的层224。在示例中,前驱物280可以是在第一半循环中使用的含钇前驱物,并且反应物282可以是在第二半循环中使用的H2O。含稀土金属的层224可以是Y2O3、Er2O3、ZrO2或另一氧化物中的第一者。
可将具有层216和金属氧化物层224的制品210引入至一种或更多种前驱物284长达一持续时间,直至层224完全吸附一种或更多种前驱物284为止,以便形成层226。随后,可将制品210引入至反应物286以与层226反应,从而生长出附加层228。因此,使用ALD在含稀土金属的层224上方完全生长或沉积了附加层228(其可以是与层224相同的材料)。
如所示出,可将含稀土金属的层224和氧化铝层228的沉积可以重复n次,以形成交替层的堆叠237,其中n为大于2的整数值。n可表示基于目标厚度和性质选择的层的有限数目。可将交替层的堆叠237视为含有多个交替子层的含稀土金属的氧化物层。因此,可重复地按顺序引入前驱物280、反应物284、前驱物284和反应物286以生长或沉积附加的交替层230、232、234、236,等等。层224、224、230、232、234、236等中的每一者可以是极薄的层,其具有小于单个原子层至几个原子层的平均厚度。
上述交替层224至236具有1:1比率,其中对于每一散布层存在第一金属氧化物的单层。然而,在其他实施例中,在不同类型的层之间可以存在诸如2:1、3:1、4:1等的其他比率。举例而言,在实施例中,可为不同材料的每一散布层沉积两个Y2O3层。另外,已将交替层224至236的堆叠237描述为两种类型的金属层的交替系列。然而,在其他实施例中,可在交替堆叠237中沉积两种以上类型的金属层。举例而言,堆叠237可包括三种不同的交替层。
在已形成交替层的堆叠237之后,可执行退火工艺以使不同材料的交替层彼此扩散并形成具有单相或多相的复合氧化物。在退火工艺之后,交替层的堆叠237可因此变成单个含稀土金属的氧化物层238。举例而言,如果堆叠中的层为Y2O3和Al2O3,则所得的含稀土金属的氧化物层238可含有Y3Al5O12(YAG)相。
含稀土金属的材料的每一层可具有约5埃至10埃的厚度,且可通过执行ALD工艺的约1个至约10个循环来形成,其中每个循环形成含稀土金属的材料的纳米层(或略小于或大于纳米层)。在一个实施例中,使用约6个至约8个ALD循环来形成含稀土金属的氧化物的每个层。每个散布层可由约1个至约2个ALD循环(或几个ALD循环)形成,且可具有小于一原子至几原子的厚度。含稀土金属的材料的层可各自具有约5埃至约100埃的厚度,并且第二氧化物的层在实施例中可各自具有约1埃至20埃的厚度,并且在进一步实施例中可具有1埃至4埃的厚度。交替层的堆叠237可具有约5nm至约3μm的总厚度。含稀土金属的材料的层之间的薄散布层可防止在含稀土金属的层中的晶体形成。这可以使非晶钇层能够生长。
在参考图2A至图2C所描述的实施例中,表面反应(例如,半反应)是按顺序地进行,且在实施例中各种前驱物和反应物并不接触。在引入新的前驱物或反应物之前,可通过惰性载气(诸如,氮气或空气)净化其中发生ALD工艺的腔室,以移除任何未反应之前驱物和/或表面前驱物反应副产物。用于每一层的前驱物将不同,并且用于含钇的氧化物层或其他含稀土金属的氧化物层的第二前驱物可以是两种含稀土金属的前驱物的混合物,以促进这些化合物的共同沉积,以便形成单相材料层。在一些实施例中,使用至少两种前驱物,在其他实施例中,使用至少三种前驱物,并且在又进一步实施例中,使用至少四种前驱物。
取决于工艺的类型,ALD工艺可在各种温度下进行。用于特定ALD工艺的最佳温度范围称作“ALD温度窗口”。低于ALD温度窗口的温度可能导致不良的生长速率和非ALD类型沉积。高于ALD温度窗口的温度可能导致经由CVD机制发生反应。ALD温度窗口范围可以是自约100℃至约650℃。在一些实施例中,ALD温度窗口为自约20℃至约200℃,或约25℃至约150℃,或约100℃至约120℃,或约20℃至125℃。
ALD工艺允许在具有复杂几何形状、具有高的深宽比的孔(例如,孔洞)和三维结构的制品和表面上的具有均匀厚度的保形涂层。每种前驱物对表面的充分暴露时间使得前驱物能够分散并与整个表面完全反应,包括表面的所有三维复杂特征。用以获得高的深宽比结构中的保形ALD的曝光时间与深宽比的平方成比例,并且可使用建模技术来预测。另外,ALD技术优于其他常用的涂布技术,因为其允许视需要原位进行的特定成分或配方的材料合成,而不会有冗长且困难的原材料(例如,粉末原料及烧结靶)制造。在一些实施例中,使用ALD涂布具有约3:1至300:1的深宽比的制品。
通过本文所述的ALD技术,可(例如)通过用以生长含稀土金属的氧化物的前驱物的合适混合物,单独地,或与如上所述且在以下示例中更详细描述的一种或更多种其他氧化物组合地生长、沉积或共同沉积多组分膜(诸如,YxAlyOz(例如,Y3Al5O12)、YxEryOz、YxEryFz或YwErxOyFz)。
在一些实施例中,可在堆叠层上方沉积含有一种或更多种含稀土金属的材料的耐磨层。该耐磨层可具有约5nm至约5000nm、约5nm至约1000nm、约100nm至约5000nm或约100nm至约500nm的厚度。
现参考各种高温部件,该高温部件可经由ALD涂布有含稀土金属的涂层。图3描绘用于ALD腔室的示例性基座300。基座300具有保护涂层,诸如,如本文中所述的含稀土金属的陶瓷涂层。在一个实施例中,薄膜保护涂层仅涂布基座的上部表面。或者,薄膜保护涂层涂布基座的上部表面和下部表面。薄膜保护层也可涂布基座的侧壁和基座的内表面。基座300可用于支撑并同时均匀地加热多个晶片。可使用电阻性加热组件或灯辐射地加热基座300。在一个实施例中,基座300包括导热基底,诸如,石墨。基座300可具有碟状形状,其可足够大以支撑多个基板(例如,多个晶片)。在一个实施例中,基座具有超过1米的直径。
基座300可包括一个或更多个凹部(也称作凹穴)301至306,其中每一者可配置成在处理期间支撑晶片或其他基板。在所图示的示例中,基座300包括六个凹部301至306。然而,其他基座可具有更多或更少凹部。凹部301至306中的每一者包括各种表面特征。凹部301中的表面特征的示例包括外环308、多个台面306,和在台面306之间的通道或气体通路。在一些实施例中,特征可具有大约10微米至80微米的高度。
凹部301至306和表面特征(例如,台面306和外环308)可流体地耦接至传热(或背侧)气体的源,诸如,在基座300中钻出的He通孔。在操作中,可在受控压力下将背侧气体提供至气体通路中,以增强基座300与基板之间的传热。
基座300可进一步包括升降销孔310。举例而言,基座300可包括支撑升降销(例如,Al2O3升降销)的三个升降销孔。升降销使得能够将晶片装载至基座300和从基座300卸除晶片。基座300可包括凹部315,该凹部315可用于将基座夹持至旋转主轴。凹部315可包括孔320,该孔320可用于将基座300以机械方式紧固至旋转主轴。
在一些实施例中,基座300由石墨形成,并且可使用ALD进行涂布以形成含稀土金属的涂层。为了促进含稀土金属的涂层与基座300的黏着,可在基座300上形成黏着层,诸如,经由CVD沉积的碳层。
图4描绘根据实施例的加热器组件400的已涂布部件。处理腔室100可适于包括加热器组件400。举例而言,加热器组件400可设置在处理腔室100的内部容积106中,在喷头130或盖下方。加热器组件400包括用于在处理期间保持基板(例如,晶片)的支撑件405,其中支撑件405附接至内部轴410的端部。内部轴410可位于处理腔室100的内部容积内。内部轴410经由凸缘420附接至外部轴415,且外部轴415可通过凸缘(未示出)耦接至处理腔室100的腔室主体102。支撑件405包括台面406,该台面406连接至内嵌于支撑件405的加热器材料内的电学部件(未示出)。根据本文所述的实施例,可暴露于处理腔室内的腐蚀性气体和等离子体的所有表面均涂布有涂层425(例如,陶瓷涂层)。
支撑件405、轴410、415和凸缘420可由含有AlN(例如,AlN陶瓷)的加热器材料构成。在一个实施例中,支撑件405可包括金属加热器,和夹在AlN陶瓷层之间的传感器层。这种组件可在高温炉中烧结以产生单体组件。层可包括加热器电路、传感器组件、接地板、射频栅格以及金属的和陶瓷的流动通道的组合。加热器组件400可在真空条件下(例如,约1毫托至约5毫托)提供高达约650℃的加热器温度。
涂层425可包括在支撑件405的表面上和/或在加热器组件400的可暴露于处理腔室内的腐蚀性气体或等离子体的所有表面上的一种或更多种含稀土金属的氧化物材料。通常,涂层425可以是单层涂层或多层涂层,其对支撑件405的材料的热学性质或对加热器组件400的性能几乎没有影响或无影响。在一些实施例中,涂层可具有约5nm至约10μm、或约25nm至约5μm、或约50nm至约500nm、或约75nm至约200nm的厚度。在一些实施例中,涂层的厚度可以是约50nm、或约75nm、或约100nm,或约125nm,或约150nm。
图5图示根据实施例的具有形成于其中的内部通道的示例性部件500的横截面侧视图。如先前所述,部件500代表基座的上部表面、加热器或静电吸盘。举例而言,部件500可以是基座,该基座可具有1米的总直径。应理解,部件500的特征并未按比例绘制,且旨在仅说明部件500的内部通道及其连接。
在一些实施例中,部件500的主体502可由一种或更多种材料形成。在一些实施例中,主体502可由单一材料形成,诸如,石墨、氧化铝、碳化硅或金属氧化物(诸如,陶瓷或含稀土金属的陶瓷)。
部件500包括用于气体流动的形成于其中的多个通道,其可用于产生真空或用于分配气体。通道包括穿过部件500的下部表面506的中心开口508、与中心开口508相交的主开口510、第一空腔518、第二空腔522、连接第一空腔518与第二空腔522的空腔导管520以及沿部件500的上部表面504的吸附孔516。在一些实施例中,部件500的部分可单独地生产并黏合在一起,以便限定内部通道。
主开口510提供中心开口508与其他通道之间的气体流动路径,该等其他通道包括未示出的可能形成于部件500内的其他通道。在一些实施例中,主开口510至少部分地穿过或完全穿过部件500。举例而言,可使用枪钻形成主开口510。包括插塞512以密封主开口510的端部。
主开口510的深度范围可以是(例如)自约0.3米至约0.8米,且在一些实施例中可以是约0.5米。主开口510的直径范围可以是自约3mm至约10mm,且在一些实施例中可以是约6mm。在一些实施例中,主开口510的深宽比(深度:直径)范围可以是自250:1至30:1,或在其间任何范围内,其中第一数字为整数且第二数字为1(例如,200:1至40:1、100:1至70:1,等等)。
中心开口508自下部表面506至内部内表面514的深度范围可以是(例如)自约15mm至约22mm,且在某些实施例中可以是约18mm。中心开口508的直径范围可以是(例如)自约2mm至5mm,且在某些实施例中可以是约3mm。在某些实施例中,中心开口的深宽比范围可以是自22:1至4:1,或在其间任何范围内,其中第一数字为整数且第二数字为1(例如,18:1至6:1、10:1至5:1,等等)。
在一些实施例中,第一空腔518和第二空腔522通过空腔导管520连接,该空腔导管520以允许一致的气流通过吸附孔516的方式分布。在一些实施例中,吸附孔516可用以提供真空,用于将晶片固定至部件500的上部表面504。在一些实施例中,第一空腔518或第二空腔522中的每一者的长度范围可以是自约50mm至约100mm,且在某些实施例中可以是约75mm。第一空腔518或第二空腔522中的每一者的直径范围可以是(例如)自约2mm至6mm,且在某些实施例中可以是约3mm。在某些实施例中,中心开口的深宽比范围可以是自50:1至8:1,或在其间任何范围内,其中第一数字为整数且第二数字为1(例如,50:1至10:1、30:1至20:1,等等)。在一些实施例中,吸附孔516和空腔导管520的直径可各自在自约0.5mm至约3mm、自约0.5mm至约1mm、自约1mm至约3mm、或约1mm至约1.5mm的范围内。
在等离子体工艺中的使用期间,部件500将暴露于等离子体,对此而言抗等离子体涂层(例如,如本文中所述的陶瓷涂层)是有益的。因为部件500的内部通道也有可能暴露于等离子体,所以有益的是涂布其内表面514。举例而言,将涂层530图示为涂布部件500的上部表面504和下部表面506。为了涂布视线沉积工艺无法接近的内表面514,可使用ALD工艺来沉积涂层530,如本文中所述。
图6A图示具有涂布至制品602上的单个涂层604的经涂布的制品600的横截面图。类似地,图6B图示具有涂布至制品652上的多个层的经涂布的制品650的横截面图。制品602和652可代表本文所述的制品/部件中的任一者,且可由适当材料形成,诸如,AlN、Al2O3、石墨或含稀土金属的陶瓷。
涂层604可以是包括本文中所论述的含稀土金属的陶瓷中的任一者的ALD涂层。举例而言,涂层604可以是ALD Y2O3涂层,且制品602可由AlN形成。
涂层654和656可由相同或不同材料形成。在一些实施例中,涂层654和656中的一者或更多者可包括含稀土金属的陶瓷。在一些实施例中,可形成附加的涂层。在一些实施例中,涂层654可以是促进制品652与涂层656之间的黏着的黏着层。举例而言,涂层654可以是包括通过CVD形成的非晶碳的CVD碳黏合层,涂层656可以是ALD Y2O3涂层或另一ALD稀土氧化物涂层,并且制品652可由石墨(例如,结晶的或半结晶的石墨)形成。碳黏合层可以是热解碳黏合层,其可促进ALD稀土氧化物涂层的黏合。作为另一示例,涂层654可以是ALD Al2O3涂层,涂层656可以是ALD Y2O3涂层,且制品652可由AlN形成。
在一些实施例中,制品602和652中的每一者可具有与其相应涂层不同的CTE。两种相邻材料之间的CTE失配越大,则那些材料中的一者将最终破裂、剥落或以另外方式失去与另一材料的黏合的可能性越大。在一些实施例中,(例如)涂层604可以使涂层604与制品602之间的CTE失配最小化的方式形成,从而使得两个CTE之间的差在制品602的CTE的10%以内。这可通过改变涂层604中存在的含稀土金属的化合物的比率来实现,如将参考图7更详细地论述。
图7图示根据实施例的使用原子层沉积形成陶瓷涂层的方法700。方法700可用于涂布本文中所述的任何制品/部件。
方法700在框710处开始,其中提供制品。制品可以是本文中所述的制品/部件中的任一者,诸如,高温加热器、静电吸盘、基座,等等。制品可由一种或更多种材料形成,诸如,AlN、Al2O3、石墨,等等。该制品可以是(例如)表示为图2A至图2C中的制品210的部件。在一个实施例中,制品可以是加热器、静电吸盘、喷嘴、气体分配板、喷头、静电吸盘部件、腔室壁、衬垫、衬垫套件、气体管线、盖、腔室盖、喷嘴、单环、处理套件环、基底、护罩、等离子体屏蔽、均流器、冷却基底、腔室观察孔、波纹管、面板,或选择性调节装置。在另一实施例中,制品为高温基座(例如,如由图3的基座300所表示)。在另一实施例中,制品为高温加热器(例如,如由图4的加热器组件400所表示)。在另一实施例中,制品为静电吸盘(例如,如由图1的ESC组件150所表示)。
在框720处,选择一种或更多种含稀土金属的陶瓷化合物。陶瓷化合物可包括Y2O3、YxZryOz、YZrOF、Y3Al5O12、Y4Al2O9、YF3、YxOyFz、Er2O3、Er3Al5O12、ErF3、ZrO2、HfO2、ExOyFz、La2O3、Lu2O3、Sc2O3、ScF3、ScxOyFz、Gd2O3、Sm2O3和Dy2O3中的一者或更多者。
在可选的框730处,可选择两种陶瓷化合物,并且可选择两种陶瓷化合物之间的摩尔比率,使得当通过原子层沉积将包括成选定摩尔比率的陶瓷化合物的陶瓷涂层沉积至制品的表面上时,其导致陶瓷涂层具有在制品的第一CTE的10%以内的CTE。通过调谐陶瓷化合物的比率,有可能产生ALD涂层,从而实质上减少或消除了涂层与制品之间的CTE失配。
各种陶瓷化合物的CTE(在40℃至400℃的范围内)如下:Y2O3为7.2x10-6/℃;ZrO2为10.5x10-6/℃;Al2O3为7.2x10-6/℃;AlN为4.6x10-6/℃;SiC为4x10-6/℃;SiN为2.8x10-6/℃;La2O3为12.6x10-6/℃;且Er2O3为6x10-6/℃。可将对包括不同比率的两种化合物的涂层的调谐计算为化合物的CTE的线性组合,CTE涂层=RACTEA+RBCTEB,其中R为特定化合物的mol%。举例而言,可将3:1摩尔比率的Y2O3与ZrO2计算为0.66*7.2+0.33*10.5=8.2x10-6/℃。
在一些实施例中,第一陶瓷化合物包括稀土氧化物,且第二陶瓷化合物包括稀土氧氟化物或稀土氟化物。在一些实施例中,第一陶瓷化合物包括Y2O3,且第二陶瓷化合物包括YxOyFz
在框740处,执行ALD(例如,如关于图2A至图2C所描述)以将陶瓷化合物、陶瓷化合物的组合或成选定比率的两种化合物的组合沉积至制品上,从而产生经涂布的制品。在一些实施例中,沉积附加的涂层。举例而言,可形成含稀土金属的化合物的多个层。在一些实施例中,首先将黏着层沉积至制品上,可经由ALD、CVD或其他沉积方法来沉积该黏着层(例如,CVD碳层)。
在一些实施例中,经由ALD在交替层中沉积化合物,以实现选定比率。举例而言,为了实现3:1的比率的Y2O3与ZrO2,以交替方式执行三次Y2O3沉积其后执行一次ZrO2沉积,以实现期望厚度。在一些实施例中,通过共同配给化合物的前驱物(例如,使用第一含金属前驱物与第二含金属前驱物的混合物)共同沉积或沉积化合物。
阐述以下示例以辅助理解本文中所述的实施例,且不应将其视为具体地限制本文中所描述且要求保护的实施例。这些变化(包括现在已知或以后会开发的所有等效物的替换,这将在本领域技术人员的能力范围内),以及配方上的改变或实验设计上的微小改变皆视为在并入本文中的实施例的范围内。可通过执行上述方法700实现这些示例。
经涂布的制品可进一步包括陶瓷涂层(例如,含稀土金属的涂层)。在一个实施例中,涂层的成分可以是Y2O3、YxZryOz、YZrOF、Y3Al5O12、Y4Al2O9、ZrO2、YF3、YxOyFz、Er2O3、Er3Al5O12、ErF3、ExOyFz、La2O3、Lu2O3、Sc2O3、ScF3、ScxOyFz、Gd2O3、GdF3、GdxOyFz、Sm2O3、Dy2O3或其组合。在一个实施例中,可使用ALD来沉积涂层(例如,如关于图4A至图2C所描述)。
经涂布的制品可进一步包括在部件与涂层之间的黏着层。在一个实施例中,黏着层为非晶碳层。
在一个示例性实施例中,在AlN基板上形成2μm厚的多晶Y2O3的ALD涂层。
在另一示例性实施例中,在Al2O3基板上形成50nm厚的多晶YZrO的ALD涂层。
在另一示例性实施例中,在AlN基板上形成160nm厚的多晶YF3的ALD涂层。
在另一示例性实施例中,在Al2O3缓冲层上(其形成在AlN基板上)形成Y2O3、YZrO或YF3的ALD涂层。Al2O3缓冲层可防止在含稀土金属的涂层与AlN基板之间形成反应界面层。
在另一示例性实施例中,在黏着层(其形成在结晶石墨基板上)上形成结晶Y2O3的ALD涂层。黏着层可以是经由CVD沉积的非晶碳层,其促进了结晶石墨与Y2O3之间的黏合。在图8中示出此示例性实施例,图8示出具有黏着层和形成在其上的陶瓷层的石墨基板的透射电子显微照片。
在石墨制品上利用含稀土金属的陶瓷保形涂层(在石墨制品和陶瓷保形涂层之间设置碳层)的此特定实施例优于其他类型的中间层,诸如,SiC层。虽然石墨相对便宜,具有高导热性、高抗热震性,但其为多孔的且含有杂质。此外,石墨容易被氟基等离子体侵蚀,从而使其有利于涂布石墨主体以填充孔洞,并提供抗等离子体层以防止石墨的杂质污染经处理的基板。保形的抗等离子体涂层(诸如,本文所述的那些)有益于涂布石墨制品,但可能由于石墨表面的孔隙度和粗糙度而导致不良质量的涂布。通过利用比下面的烧结石墨基板更致密的非晶碳黏着层,允许改善在高温操作下的陶瓷层与基板之间的黏着。
这些前述实施例并不意欲为限制性的,且构想到未明确公开的其他实施例,其可以是本文中所述的各种实施例的若干组合或置换中的一者。
之前的描述阐述了许多具体细节,诸如,具体的系统、部件、方法等的示例,以便提供对本公开的若干实施例的良好理解。然而,对于本领域技术人员将显而易见,可在没有这些具体细节的情况下实践本公开的至少一些实施例。在其他情况下,未详细描述或以简单框图的形式呈现熟知的部件或方法,以便避免不必要地混淆本公开的实施例。因此,所述具体细节仅为示例性的。具体实施例可与这些示例性细节不同,且仍构想到在本公开的范围内。
应注意,在本公开中对“一”或“一个”实施例的不同引用未必为同一实施例,且这种引用意味着至少一个。贯穿本说明书对“一个实施例”或“实施例”的引用意味着结合实施例描述的特定特征、结构、特性包括在至少一个实施例中。因此,贯穿本说明书各处的短语“在一个实施例中”或“在实施例中”的出现未必皆代表同一实施例。另外,术语“或”旨在意味着包括性的“或”而不是排他性的“或”。当在本文中使用术语“约”或“大约”时,这旨在意味着所呈现的标称值精确在±1%以内。
尽管本文中以特定顺序示出并描述了方法的操作,但可改变每一个方法的操作的顺序,以使得可以以相反顺序执行某些操作,或使得可至少部分地与其他操作同时执行某些操作。在另一个实施例中,不同操作的指令或子操作可以间歇和/或交替的方式进行。
应理解,以上描述旨在为说明性的,而非限制性的。在阅读并理解以上描述之后,许多其他实施例对于本领域技术人员将显而易见。因此,本公开的范围应参考所附权利要求连同权利要求所赋予的等效物的完整的范围来确定。

Claims (15)

1.一种经涂布的制品,包括:
制品,所述制品适于在处理腔室中使用,其中所述制品包括:
外表面;
内部通道,所述内部通道包括视线沉积工艺无法接近的表面;
涂层,所述涂层形成在所述制品的所述外表面和所述内表面上,所述涂层包括含稀土金属的陶瓷,所述含稀土金属的陶瓷选自由Y2O3、YxZryOz、YZrOF、Y3Al5O12、Y4Al2O9、YF3、YOF、YxOyFz、Er2O3、Er3Al5O12、ErF3、ErxOyFz、La2O3、Lu2O3、Sc2O3、ScF3、ScxOyFz、Gd2O3、GdF3、GdxOyFz、Sm2O3和Dy2O3及其组合所组成的群组,其中所述涂层为基本上均匀的、保形的并且无孔的。
2.如权利要求1所述的经涂布制品,其中所述内部通道的深宽比为30:1至250:1,并且其中所述制品为选自加热器、静电吸盘或基座的高温制品。
3.如权利要求1所述的经涂布的制品,其中所述涂层具有从约100nm至约5μm的厚度,并且其中所述制品包括选自由以下各者组成的群组的成分:AlN、Al2O3和石墨。
4.如权利要求1所述的经涂布的制品,进一步包括:
黏着层,所述黏着层设置在所述涂层与所述制品的所述内表面和所述外表面之间。
5.如权利要求1所述的经涂布的制品,其中所述涂层的热膨胀系数在所述制品的热膨胀系数的10%以内。
6.一种经涂布的制品,包括:
制品,所述制品适于在处理腔室中使用;
非晶碳黏着层,所述非晶碳黏着层形成在所述制品上;以及
涂层,所述涂层形成在所述非晶碳黏着层上,所述涂层包括含稀土金属的陶瓷,所述含稀土金属的陶瓷选自由Y2O3、YxZryOz、YZrOF、Y3Al5O12、Y4Al2O9、YF3、YOF、YxOyFz、Er2O3、Er3Al5O12、ErF3、ErxOyFz、La2O3、Lu2O3、Sc2O3、ScF3、ScxOyFz、Gd2O3、GdF3、GdxOyFz、Sm2O3和Dy2O3及其组合所组成的群组,其中所述涂层为基本上均匀的、保形的并且无孔的。
7.如权利要求6所述的经涂布的制品,其中所述制品包括石墨,并且其中所述制品为选自加热器、静电吸盘或基座的高温制品。
8.如权利要求6所述的经涂布的制品,其中所述涂层具有从约100nm至约5μm的厚度。
9.如权利要求6所述的经涂布的制品,其中所述制品包括内部通道,所述内部通道包括视线沉积工艺无法接近的表面,并且其中所述涂层进一步形成在所述制品的所述内表面上。
10.一种形成经涂布的制品的方法,所述方法包括:
提供适于在处理腔室中使用的制品,所述制品具有第一热膨胀系数;
选择第一稀土陶瓷化合物与第二稀土陶瓷化合物的摩尔比率,当通过原子层沉积将包括成所选择的摩尔比率的所述第一稀土陶瓷化合物和第二稀土陶瓷化合物的陶瓷涂层沉积至所述制品的表面上时,所述摩尔比率导致所述陶瓷涂层具有在所述第一热膨胀系数的10%以内的第二热膨胀系数;以及
执行原子层沉积以在所述制品的所述表面上沉积所述陶瓷涂层。
11.如权利要求10所述的方法,其中所述第一稀土化合物和第二稀土化合物中的每一者独立地选自由以下各者所组成的群组:Y2O3、YxZryOz、YZrOF、Y3Al5O12、Y4Al2O9、YF3、YOF、YxOyFz、Er2O3、Er3Al5O12、ErF3、ErxOyFz、La2O3、Lu2O3、Sc2O3、ScF3、ScxOyFz、Gd2O3、GdF3、GdxOyFz、Sm2O3和Dy2O3,附带条件是所述第一稀土化合物和第二稀土化合物不同。
12.如权利要求10所述的方法,其中所述第一稀土陶瓷化合物包括稀土氧化物,并且其中所述第二稀土化合物包括稀土氧氟化物或稀土氟化物。
13.如权利要求10所述的方法,其中所述第一稀土陶瓷包括Y2O3,并且其中所述第二稀土陶瓷包括YxOyFz
14.如权利要求10所述的方法,其中所述陶瓷涂层为基本上均匀的、保形的并且无孔的,并且其中所述制品包括选自由以下各者组成的群组的成分:AlN、Al2O3和石墨。
15.如权利要求10所述的方法,其中所述制品选自由以下各者组成的群组:加热器、静电吸盘、喷嘴、气体分配板、喷头、静电吸盘部件、腔室壁、衬垫、衬垫套件、气体管线、盖、腔室盖、喷嘴、单环、处理套件环、基底、护罩、等离子体屏蔽、均流器、冷却基底、腔室观察孔、波纹管、面板,或选择性调节装置。
CN201980080609.5A 2018-12-06 2019-12-04 高温陶瓷部件的原子层沉积涂层 Pending CN113169042A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/211,335 US11180847B2 (en) 2018-12-06 2018-12-06 Atomic layer deposition coatings for high temperature ceramic components
US16/211,335 2018-12-06
PCT/US2019/064539 WO2020117979A1 (en) 2018-12-06 2019-12-04 Atomic layer deposition coatings for high temperature ceramic components

Publications (1)

Publication Number Publication Date
CN113169042A true CN113169042A (zh) 2021-07-23

Family

ID=70972534

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980080609.5A Pending CN113169042A (zh) 2018-12-06 2019-12-04 高温陶瓷部件的原子层沉积涂层

Country Status (6)

Country Link
US (2) US11180847B2 (zh)
JP (1) JP2022510278A (zh)
KR (1) KR20210090277A (zh)
CN (1) CN113169042A (zh)
TW (1) TW202030355A (zh)
WO (1) WO2020117979A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116408252A (zh) * 2021-12-29 2023-07-11 南昌中微半导体设备有限公司 工件处理方法、工件及等离子体处理装置

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10443126B1 (en) * 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
KR102642616B1 (ko) * 2018-12-15 2024-03-05 엔테그리스, 아이엔씨. 불소 이온 주입 방법 및 시스템
US20210183627A1 (en) * 2019-12-11 2021-06-17 International Business Machines Corporation Apparatus For Reducing Wafer Contamination During ION-Beam Etching Processes
KR102549555B1 (ko) * 2021-02-26 2023-06-29 (주)포인트엔지니어링 공정 챔버용 부품 및 보호막 처리 장치
KR102506489B1 (ko) 2022-04-14 2023-03-07 주식회사 유니온씨티 라이다 센서 및 cctv를 이용한 보행자 방호시스템
US20240043992A1 (en) * 2022-08-08 2024-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Multilayer ald coating for critical components in process chamber
KR102596955B1 (ko) 2022-11-10 2023-11-02 주식회사 유니온씨티 교통사고 예방 및 안전을 위한 볼라드

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03115535A (ja) 1989-09-28 1991-05-16 Nippon Mining Co Ltd 希土類金属の酸素低減方法
US5805973A (en) 1991-03-25 1998-09-08 General Electric Company Coated articles and method for the prevention of fuel thermal degradation deposits
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6685991B2 (en) 2000-07-31 2004-02-03 Shin-Etsu Chemical Co., Ltd. Method for formation of thermal-spray coating layer of rare earth fluoride
US6454992B1 (en) * 2000-09-29 2002-09-24 Ohio Aerospace Institute Oxidation resistant and low coefficient of thermal expansion NiA1-CoCrAly alloy
US6916534B2 (en) 2001-03-08 2005-07-12 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
US6582834B2 (en) * 2001-06-12 2003-06-24 General Electric Company Anti-stick coating for internal passages of turbine components
JP4277973B2 (ja) 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
CA2469565A1 (en) * 2001-12-06 2003-07-03 New York University Logic arrangement, data structure, system and method for multilinear representation of multimodal data ensembles for synthesis, recognition and compression
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
JP2003277051A (ja) 2002-03-22 2003-10-02 Ngk Insulators Ltd イットリア−アルミナ複合酸化物膜を有する積層体、イットリア−アルミナ複合酸化物膜、耐蝕性部材、耐蝕性膜およびイットリア−アルミナ複合酸化物膜の製造方法
EP1386979B1 (en) 2002-08-02 2008-03-05 Fujikura Ltd. Method of producing polycrystalline thin film and method of producing an oxide superconducting element
KR100772740B1 (ko) 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
DE60311686T2 (de) 2003-01-10 2007-06-06 Siemens Ag Ein Schutzüberzug
CN100495413C (zh) 2003-03-31 2009-06-03 东京毅力科创株式会社 用于邻接在处理元件上的相邻覆层的方法
JP2004335151A (ja) 2003-04-30 2004-11-25 Ibiden Co Ltd セラミックヒータ
CN1288108C (zh) 2003-10-24 2006-12-06 东芝陶瓷股份有限会社 耐等离子体构件、其制造方法及形成热喷涂涂层的方法
JP4606121B2 (ja) 2004-01-29 2011-01-05 京セラ株式会社 耐食膜積層耐食性部材およびその製造方法
JP2006082474A (ja) 2004-09-17 2006-03-30 Tosoh Corp 樹脂部材
JP2006186306A (ja) 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
KR101226120B1 (ko) 2004-10-26 2013-01-24 쿄세라 코포레이션 내식성 부재 및 그 제조방법
CN101010448B (zh) 2005-06-23 2010-09-29 东京毅力科创株式会社 半导体处理装置用的构成部件及其制造方法
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
JP2007217782A (ja) 2006-02-20 2007-08-30 Showa Denko Kk 希土類元素のフッ化物皮膜を有する耐食性皮膜およびその製造方法
US8012442B2 (en) 2006-03-31 2011-09-06 Tokyo Electron Limited Method of forming mixed rare earth nitride and aluminum nitride films by atomic layer deposition
JP2008016795A (ja) 2006-07-06 2008-01-24 Momentive Performance Materials Inc 耐腐食性ウェーハプロセス装置およびその作製方法
JP4546447B2 (ja) 2006-12-22 2010-09-15 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
JP5252613B2 (ja) 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
TW201100578A (en) 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
WO2011049938A2 (en) 2009-10-20 2011-04-28 Saint-Gobain Ceramics & Plastics, Inc. Microelectronic processing component having a corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer
WO2011150311A1 (en) 2010-05-28 2011-12-01 Praxair Technology, Inc. Substrate supports for semiconductor applications
US20120196139A1 (en) 2010-07-14 2012-08-02 Christopher Petorak Thermal spray composite coatings for semiconductor applications
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
JP5768393B2 (ja) 2011-02-10 2015-08-26 株式会社リコー インクジェットヘッド及び画像形成装置
JP5337828B2 (ja) 2011-02-10 2013-11-06 紀州技研工業株式会社 インクジェットプリンタ
WO2012119016A2 (en) 2011-03-02 2012-09-07 Applied Thin Films, Inc. Protective internal coatings for porous substrates
US8440520B2 (en) 2011-08-23 2013-05-14 Tokyo Electron Limited Diffused cap layers for modifying high-k gate dielectrics and interface layers
KR20130025025A (ko) 2011-09-01 2013-03-11 주식회사 코미코 정전척
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9988702B2 (en) 2012-05-22 2018-06-05 Kabushiki Kaisha Toshiba Component for plasma processing apparatus and method for manufacturing component for plasma processing apparatus
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
CN103794458B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
CN103794460B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9624593B2 (en) 2013-08-29 2017-04-18 Applied Materials, Inc. Anodization architecture for electro-plate adhesion
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
WO2015120265A1 (en) 2014-02-07 2015-08-13 Entegris, Inc. Electrostatic chuck and method of making same
US20170022595A1 (en) 2014-03-31 2017-01-26 Kabushiki Kaisha Toshiba Plasma-Resistant Component, Method For Manufacturing The Plasma-Resistant Component, And Film Deposition Apparatus Used For Manufacturing The Plasma-Resistant Component
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9551070B2 (en) 2014-05-30 2017-01-24 Applied Materials, Inc. In-situ corrosion resistant substrate support coating
CN105225997B (zh) 2014-06-12 2018-01-23 中微半导体设备(上海)有限公司 一种静电夹盘及静电夹盘的制造方法
KR20160030812A (ko) 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치
US10141582B2 (en) 2014-12-22 2018-11-27 Sonata Scientific LLC SOFC interconnect barriers and methods of making same
CN109023303A (zh) * 2015-02-13 2018-12-18 恩特格里斯公司 衬底部分上的复合原子层沉积ald涂层及在衬底部分上形成经图案化ald涂层的方法
WO2016148739A1 (en) 2015-03-18 2016-09-22 Entegris, Inc. Articles coated with fluoro-annealed films
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US20160379806A1 (en) 2015-06-25 2016-12-29 Lam Research Corporation Use of plasma-resistant atomic layer deposition coatings to extend the lifetime of polymer components in etch chambers
US20160375515A1 (en) 2015-06-29 2016-12-29 Lam Research Corporation Use of atomic layer deposition coatings to protect brazing line against corrosion, erosion, and arcing
KR101916872B1 (ko) 2015-10-15 2018-11-08 아이원스 주식회사 반도체 공정 장비 부품의 코팅층 재생 방법 및 이에 따른 반도체 공정 장비 부품
JP2017092156A (ja) 2015-11-03 2017-05-25 ナショナル チュン−シャン インスティテュート オブ サイエンス アンド テクノロジー 高密度のプラズマ及び高温の半導体製造プロセスに用いられる窒化アルミニウムの静電チャンク
KR102674364B1 (ko) * 2015-11-16 2024-06-13 쿠어스 테크, 인코포레이티드 내부식성 부품 및 제조 방법
JP7282678B2 (ja) * 2017-01-16 2023-05-29 インテグリス・インコーポレーテッド フルオロアニーリング膜でコーティングされた物品
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116408252A (zh) * 2021-12-29 2023-07-11 南昌中微半导体设备有限公司 工件处理方法、工件及等离子体处理装置

Also Published As

Publication number Publication date
WO2020117979A1 (en) 2020-06-11
JP2022510278A (ja) 2022-01-26
TW202030355A (zh) 2020-08-16
US20220081762A1 (en) 2022-03-17
US11180847B2 (en) 2021-11-23
KR20210090277A (ko) 2021-07-19
US20200181771A1 (en) 2020-06-11

Similar Documents

Publication Publication Date Title
TWI791489B (zh) 原子層沉積之多孔體的抗電漿塗佈
US11251023B2 (en) Multi-layer plasma resistant coating by atomic layer deposition
US11008653B2 (en) Multi-layer coating with diffusion barrier layer and erosion resistant layer
CN113169042A (zh) 高温陶瓷部件的原子层沉积涂层
US20180337026A1 (en) Erosion resistant atomic layer deposition coatings
TWI811232B (zh) 用於高溫加熱器的原子層沉積塗層
US20220349041A1 (en) Erosion resistant metal silicate coatings
CN110735128A (zh) 通过原子层沉积来沉积的抗侵蚀金属氟化物涂层

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination