CN112992793A - 间隔件结构及其制造方法 - Google Patents

间隔件结构及其制造方法 Download PDF

Info

Publication number
CN112992793A
CN112992793A CN202110180162.8A CN202110180162A CN112992793A CN 112992793 A CN112992793 A CN 112992793A CN 202110180162 A CN202110180162 A CN 202110180162A CN 112992793 A CN112992793 A CN 112992793A
Authority
CN
China
Prior art keywords
dielectric layer
gate structure
spacer
conductive gate
sidewalls
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110180162.8A
Other languages
English (en)
Inventor
范富杰
郑光茗
刘思贤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN112992793A publication Critical patent/CN112992793A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

本发明实施例涉及间隔件结构及其制造方法。本发明一些实施例揭露一种间隔件结构以及一种其的成形加工方法。第一及第二导电结构形成于衬底上方。形成第一图案化介电层,以覆盖所述第一导电结构以及暴露出所述第二导电结构。形成第二介电层,以覆盖所述第一图案化介电层以及所述第二导电结构的上表面及侧壁。去除放置于所述第一导电结构的上表面以及所述第二导电结构的所述上表面上方的所述第二介电层。放置于所述第一导电结构的所述侧壁上的所述第一图案化介电层以及所述第二介电层形成第一间隔件结构,以及放置于所述第二导电结构的所述侧壁上的所述第二介电层形成第二间隔件结构。所述第一间隔件结构的宽度大于所述第二间隔件结构的宽度。

Description

间隔件结构及其制造方法
分案申请的相关信息
本申请是申请日为2016年12月30日、优先权日为2015年12月31日、发明名称为“间隔件结构及其制造方法”并且申请号为201611255915.2的中国发明专利申请的分案申请。
技术领域
本揭露涉及一种间隔件结构以及一种其的成形加工方法。
背景技术
间隔件形成于金属-氧化物-半导体场效晶体管(metal-oxide-semiconductorfield effect transistor,MOSFET)装置的栅电极旁边的介电结构。除了保护栅电极,间隔件也用以允许源极/漏极区和/或轻掺杂漏极(lightly doped drain,LDD)的形成。
在MOSFET装置中,漏电流必须减少以节省功率消耗。MOSFET装置中的漏电源为栅极诱导的漏极漏电(gate-induced drain leakage,GIDL),其由在与栅电极重叠的漏极区表面的缺陷辅助能带间穿隧所造成。GIDL对许多因子敏感,例如栅介电体厚度、漏极区的掺杂物浓度、所施加栅极电压,以及间隔件宽度。除了GIDL之外,热载体也对间隔件宽度敏感。随着集成电路的复杂性与应用增加,对于抑制漏电流以及不同MOSFET装置间的热载体有更多的挑战。
发明内容
在一种示范性方面中,提供了一种用于制造间隔件结构的方法。所述方法包括下列操作。接收衬底。形成第一导电结构以及第二导电结构于所述衬底上方。形成第一图案化介电层,以覆盖所述第一导电结构以及暴露出所述第二导电结构。形成第二介电层,以覆盖所述第一图案化介电层以及所述第二导电结构的上表面及侧壁。去除放置于所述第一导电结构的上表面以及所述第二导电结构的所述上表面上方的所述第二介电层。放置于所述第一导电结构的所述侧壁上的所述第一图案化介电层以及所述第二介电层形成第一间隔件结构,以及放置于所述第二导电结构的所述侧壁上的所述第二介电层系形成第二间隔件结构。所述第一间隔件结构在宽度上大于所述第二间隔件结构。
在另一示范性方面中,提供了一种用于制造间隔件结构的方法。所述方法包括下列操作。提供衬底。放置第一栅极结构以及第二栅极结构于所述衬底上方。形成第一介电层,以覆盖所述第一栅极结构以及所述第二栅极结构。形成屏蔽层,以阻挡在所述第一栅极结构上方的所述第一介电层以及暴露出于所述第二栅极结构上方的所述第一介电层。蚀刻所述屏蔽层所暴露的所述第一介电层,以暴露出所述第二栅极结构。去除所述屏蔽层。形成第二介电层,以覆盖在所述第一栅极结构上方的所述第一介电层以及所述第二栅极结构。在没有屏蔽层下,蚀刻在所述第一栅极结构的上表面以及所述第二栅极结构的上表面上方的所述第二介电层。
在又另一方面中,提供了一种间隔件结构。所述间隔件结构包括衬底、第一导电结构、第一间隔件结构、第二导电结构、以及第二间隔件结构。所述第一导电结构放置于所述衬底上方。所述第一间隔件结构放置于所述第一导电结构的侧壁上。所述第二导电结构放置于所述衬底上方。所述第二间隔件结构放置于所述第二导电结构的侧壁上。所述第一间隔件结构包含比所述第二间隔件结构还多的介电层堆叠在侧向方向上,以及所述第一间隔件结构在宽度上大于所述第二间隔件结构。
附图说明
本揭露的方面将在与随附图式一同阅读下列详细说明下被最优选地理解。请注意为根据业界标准作法,各种结构未依比例绘制。事实上,为了使讨论内容清楚,各种结构的尺寸可刻意放大或缩小。
图1是根据本揭露的一些实施例绘示用于制造间隔件结构的方法的流程图。
图2A、2B、2C、2D、2E以及2F是根据本揭露的一些实施例在制造间隔件结构的各种操作的一者的剖面图。
图3是根据本揭露的一些替代实施例制造间隔件结构的剖面图。
图4A、4B、4C、4D、4E以及4F是根据本揭露的一些实施例在制造间隔件结构的各种操作的一者的剖面图。
图5A、5B、5C、5D以及5E是根据本揭露的一些实施例在制造间隔件结构的各种操作的一者的剖面图。
具体实施方式
下列揭露提供许多用于实施所提供目标的不同特征的不同实施例、或实例。为了简化本揭露,在下文描述组件及配置的具体实例。当然这些仅为实例而非意图为限制性。例如,在下面说明中,形成第一特征于第二特征上方或上可包括其中所述第一及第二特征经形成为直接接触的实施例,以及也可包括其中额外特征可形成在所述第一与第二特征之间而使得所述第一及第二特征不可直接接触的实施例。此外,本揭露可重复参考编号和/或字母于各种实例中。此重复是为了简单与清楚的目的且其本身并不决定所讨论的各种实施例和/或构形之间的关系。
再者,空间相关词汇,例如“在...之下(beneath)”、“下面(below)”、“下(lower)”、“上面(above)”、“上(upper)”、“在...上(on)”和类似词汇,可能是为了使说明书便于描述如图式绘示的一个组件或特征与另一个(或多个)组件或特征的相对关系而使用于本文中。除了图式中所画的方位外,这些空间相对词汇也意图用来涵盖装置在使用中或操作时的不同方位。所述设备可以其它方式定向(旋转90度或于其它方位),据此在本文中所使用的这些空间相关说明符可以类似方式加以解释。
如本文中所使用者,词汇例如“第一”、“第二”以及“第三”系描述各种组件、组件、区、层和/或区段,但这些组件、组件、区、层和/或区段应不限于这些词汇。这些词汇可仅用于将一个组件、组件、区、层或区段与另一个组件、组件、区、层或区段区别。除非内文中明确指出,否则当于本文中使用词汇例如“第一”、“第二”以及“第三”时,不意味顺序或次序。
在本揭露中,具有不同间隔件宽度的间隔件结构是通过堆叠不同数目的介电层而成形加工。所述间隔件结构的一者是从在一侧向方向上较多的介电层形成,而所述间隔件结构的另一者是从较少介电层形成。在一些实施例中,所述间隔件结构的一者是通过在一侧向方向上堆叠两个介电层形成,而所述间隔件结构的另一者是从一个介电层形成。本揭露的间隔件结构是从对齐、较不复杂且可与标准集成电路成形加工兼容。所述具有不同宽度的间隔件结构系用来作为装置的侧间隔件以满足在不同应用中的多元需求或规格。
图1是根据本揭露的一些实施例绘示一种用于制造间隔件结构的方法的流程图。方法100开始于操作110,在其中接收衬底。然后,方法100接着为操作120,在其中形成第一导电结构以及第二导电结构于所述衬底上方。接下来,方法100接着为操作130,在其中形成第一图案化介电层覆盖所述第一导电结构以及暴露出所述第二导电结构。方法100继续为操作140,在其中形成第二电介质覆盖所述第一图案化介电层以及所述第二导电结构的上表面及侧壁。之后,方法100接着为操作150,在其中去除放置于所述第一导电结构的上表面以及所述第二导电结构的所述上表面上方的所述第二介电层。放置于所述第一导电结构的所述侧壁上的所述第一图案化介电层以及所述第二介电层系形成第一间隔件结构。此外,放置于所述第二导电结构的所述侧壁上的所述第二介电层系形成第二间隔件结构。再者,所述第一间隔件结构在宽度上大于所述第二间隔件结构。
方法100仅为实例,且不意图限制本揭露超出申请专利范围所明确记载的内容。额外操作可在方法100的前、期间或之后提供,且为了所述方法的额外实施例可将所述的一些操作置换、排除、或搬动。
图2A、2B、2C、2D、2E以及2F是根据本揭露的一些实施例在制造间隔件结构的各种操作的一者的剖面图。如图2A中所绘示以及图1中的操作110,方法100开始于操作110,在其中接收衬底10。衬底10包括晶片,所述晶片为待在其上方形成装置例如半导体装置或其它装置的晶片。在一些实施例中,衬底10包括半导体衬底,例如主体半导体衬底。所述主体半导体衬底包括元素半导体,例如硅或锗;化合物半导体,例如硅锗、碳化硅、砷化镓、磷化镓、磷化铟、或砷化铟;或其组合。在一些实施例中,衬底10包括多层半导体,例如绝缘体上硅(silicon-on-insulator,SOI)衬底,其包括底部半导体层、埋藏氧化物层(buried oxidelayer,BOX)以及顶部半导体层。
如图2A中所绘示及图1中的操作120,方法100接着为操作120,在其中形成第一导电结构22以及第二导电结构24于衬底10上方。在一些实施例中,第一导电结构22以及第二导电结构24为晶体管装置的栅极结构,例如MOSFET装置的栅极结构;或内存单元的栅极结构,例如静态随机存取内存(static random access memory,SRAM))单元的栅极结构或多次性可编程(multi-time programmable,MTP)单元的栅极结构。第一导电结构22以及第二导电结构24可称作第一栅极结构以及第二栅极结构。
在一些实施例中,第一导电结构22以及第二导电结构24是由半导体材料例如经掺杂多晶硅所形成。在一些其它实施例中,第一导电结构22以及第二导电结构24的材料可以是金属、合金或任何其它合适的导电材料。第一导电结构22以及第二导电结构24可以是单层结构或多层结构。第一导电结构12以及第二导电结构14在大小上,例如在宽度上、在长度上和/或在高度上可以是相等或不同。在一些实施例中,栅极介电层12可形成于第一导电结构22与衬底10之间以及于第二导电结构24与衬底10之间。栅极介电层12可包括介电材料例如氧化硅或任何其它具有合适介电常数的合适介电材料。再者,栅极介电层12可随同第一导电结构22以及第二导电结构24被图案化。在一些实施例中,帽盖层(未显示)例如保护层和/或自对齐硅化物层形成于第一导电结构22的上表面22U以及第二导电结构24的上表面24U上方。
如图1中的操作130所绘示,方法100接着为操作130,在其中形成第一图案化介电层覆盖所述第一导电结构以及暴露出所述第二导电结构。在一些实施例中,如下形成第一图案化介电层。如图2B中所绘示,形成介电层30,其覆盖第一导电结构22的上表面22U以及侧壁22S以及第二导电结构24的上表面24U以及侧壁24S。在一些实施例中,介电层30通过沉积例如但不限于化学气相沉积(chemical vapor deposition,CVD)形成。在一些实施例中,介电层30为单层结构,其可由介电材料例如氧化硅、氮化硅或其它合适的介电或绝缘材料所形成。在一些实施例中,介电层30为多层结构,其包括多个介电膜。例如,多层介电层包括氧化硅-氮化硅(silicon oxide-silicon nitride,ON)介电层、氧化硅-氮化硅-氧化硅(silicon oxide-silicon nitride-silicon oxide,ONO)介电层、或任何其它合适的介电膜堆叠。在一些实施例中,介电层30为衬垫介电层,其可与第一导电结构22的上表面22U以及侧壁22S以及第二导电结构24的上表面24U以及侧壁24S是大体上共形,但不限于此。介电层30的厚度可基于所欲间隔件宽度的要求修改。
如图2C中所绘示,放置于上表面22U上方的介电层30以及放置于第一导电结构22的侧壁22S上的介电层30被屏蔽层14,如光阻层或硬屏蔽层阻挡。
如图2D中所绘示,屏蔽层14所暴露的介电层30被去除,得到第一图案化介电层32。在一些实施例中,介电层30是通过蚀刻例如干式蚀刻和/或湿式蚀刻去除。然后,去除屏蔽层14。
如图2E中所绘示及图1中的操作140,方法100接着为操作140,在其中形成第二介电层34覆盖第一图案化介电层32以及第二导电结构24的上表面24U以及侧壁24S。在一些实施例中,第二介电层34是通过沉积例如但不限于CVD形成。在一些实施例中,第二介电层34的材料不同于介电层30。举例来说,第二介电层34由氮化硅所形成。在一些其它实施例中,第二介电层34的材料可包括氧化硅或任何其它合适的介电材料。
如图2F中所绘示及图1中的操作150,方法100继续为操作150,在其中去除放置于第一导电结构22的上表面22U以及第二导电结构24的上表面24U上方的第二介电层34。由于靠近第一导电结构22的侧壁22S以及第二导电结构24的侧壁24S的第二介电层34的厚度大于靠近第一导电结构22的上表面22U、第二导电结构24的上表面24U以及衬底10的表面的第二介电层34的厚度,第二介电层34可通过蚀刻图案化而不需屏蔽层。在一些实施例中,放置于第一导电结构22的上表面22U以及第二导电结构24的上表面24U上方的第二介电层34是通过非等向性蚀刻例如干式蚀刻去除。因此,在第一导电结构22的侧壁22S上以及在第二导电结构24的侧壁24S上留下的第二介电层34具有大体上弧形结构(也称作D形结构)。蚀刻第二介电层34之后,第二介电层34所暴露的第一图案化介电层32是通过干式蚀刻或湿式蚀刻去除,得到L形结构。
据此,在侧向方向L(如由双箭头所指出)上堆叠且放置于第一导电结构22的侧壁22S上的第一图案化介电层32以及第二介电层34形成第一间隔件结构36。再者,放置于第二导电结构24的侧壁24S上的第二介电层34形成第二间隔件结构38。第一间隔件结构36在宽度上大于第二间隔件结构38。具体地,在侧向方向L上,第一间隔件结构36的宽度W1大于第二间隔件结构24的宽度W2。在一些实施例中,放置于第一导电结构22的两个相对侧上的所述第一间隔件结构36在宽度上相等。放置于第二导电结构24的两个相对侧上的所述第二间隔件结构38在宽度上相等。
具有较宽间隔件宽度W1的第一导电结构22以及具有较窄间隔件宽度W2的第二导电结构24形成于相同集成电路中,且可被施加至具有不同要求、规格和/或功能性的不同装置。例如,具有较宽间隔件宽度W1的第一导电结构22以及具有较窄间隔件宽度W2的第二导电结构24可分别用来作为高压MOSFET装置的栅极结构以及低压MOSFET装置的栅极结构、具有较大尺寸的SRAM或MTP单元的栅极结构以及具有较小尺寸的SRAM或MTP单元的栅极结构、或具有不同要求、规格和/或功能性的不同装置。在一些实施例中,具有较宽间隔件宽度W1的第一导电结构22施加至具有较大间距的相邻栅极结构,而具有较窄间隔件宽度W2的第二导电结构24施加至具有较小间距的相邻栅极结构。
本揭露的结构及制造方法不限于上述实施例,且可具有其它不同实施例。为简化说明以及方便本揭露实施例的各者间的比较,在下列实施例的各者中的完全相同组件以完全相同编号标出。为了使得更容易地比较实施例之间的差异,下列说明将详述不同实施例间的不相似处且完全相同特征将不赘述。
图3是根据本揭露的一些替代实施例制造间隔件结构的剖面图。在这些替代实施例中,放置于第一导电结构22的上表面22U以及第二导电结构24的上表面24U上方的第二介电层34是通过等向性蚀刻例如湿式蚀刻去除。因此,在第一导电结构22的侧壁22S上留下的第二介电层34具有如图3中所绘示的大体上L形结构。
据此,在侧向方向L上堆叠且放置于第一导电结构22的侧壁22S上的第一图案化介电层32以及第二介电层34都是L形结构且形成第一间隔件结构36。放置于第二导电结构24的侧壁24S上的第二介电层34是L形结构且形成第二间隔件结构38。类似地,第一间隔件结构36的宽度W1大于第二间隔件结构24的宽度W2。
图4A、4B、4C、4D、4E以及4F是根据本揭露的一些实施例在制造间隔件结构的各种操作的一者的剖面图。如图4A中所绘示,第一导电结构22以及第二导电结构24形成于衬底10上方。如图4B中所绘示,形成介电层30,覆盖第一导电结构22的上表面22U以及侧壁22S以及第二导电结构24的上表面24U以及侧壁24S。
如图4C中所绘示,放置于第一导电结构22的上表面22U以及第二导电结构24的上表面24U上方的介电层30被去除,而至少一些放置于第一导电结构22的侧壁22S以及第二导电结构24的侧壁24S上的介电层30被保留。在一些实施例中,由于靠近第一导电结构22的侧壁22S以及第二导电结构24的侧壁24S的介电层30的厚度大于靠近第一导电结构22的上表面22U、第二导电结构24的上表面24U以及衬底10的表面的介电层30的厚度,介电层30可通过蚀刻去除而不需一屏蔽层。举例来说,放置于第一导电结构22的上表面22U以及第二导电结构24的上表面24U上方的介电层30是通过非等向性蚀刻例如干式蚀刻去除,以及在第一导电结构22的侧壁22S上以及于第二导电结构24的侧壁24S上留下的介电层30具有大体上弧形结构。
如图4D中所绘示,第一导电结构22的上表面22U以及放置于第一导电结构22的侧壁22S上的介电层30被屏蔽层14,如光阻层或硬屏蔽层阻挡,而第二导电结构24被暴露。之后,屏蔽层14所暴露的介电层30被去除,得到第一图案化介电层32,其覆盖第一导电结构22的侧壁22S且曝露出第二导电结构24的侧壁24S。然后,去除屏蔽层14。
如图4E中所绘示,形成第二介电层34,覆盖第一图案化介电层32以及第二导电结构24的上表面24U以及侧壁24S。
如图4F中所绘示,放置于第一导电结构22的上表面22U以及第二导电结构24的上表面24U上方的第二介电层34被去除。由于靠近第一导电结构22的侧壁22S以及第二导电结构24的侧壁24S的第二介电层34的厚度大于靠近第一导电结构22的上表面22U、第二导电结构24的上表面24U以及衬底10的表面的第二介电层34的厚度,第二介电层34可通过蚀刻去除而不需屏蔽层。举例来说,放置于第一导电结构22的上表面22U以及第二导电结构24的上表面24U上方的第二介电层34是通过非等向性蚀刻例如干式蚀刻去除,以及在第一导电结构22的侧壁22S上以及在第二导电结构24的侧壁24S上留下的第二介电层34具有大体上弧形结构。
据此,在侧向方向L上堆叠且放置于第一导电结构22的侧壁22S上的第一图案化介电层32以及第二介电层34形成第一间隔件结构36。再者,放置于第二导电结构24的侧壁24S上的第二介电层34形成第二间隔件结构38。第一间隔件结构36的宽度W1大于第二间隔件结构24的宽度W2。
图5A、5B、5C、5D以及5E根据本揭露的一些实施例在制造间隔件结构的各种操作的一者的剖面图。如图5A中所绘示,第一导电结构22以及第二导电结构24形成于衬底10上方。在一些实施例中,帽盖层26例如保护层和/或自对齐硅化物层形成于第一导电结构22的上表面22U以及第二导电结构24的上表面24U上方。
如图5B中所绘示,局部形成介电层30,覆盖第一导电结构22的侧壁22S以及第二导电结构24的侧壁24S。在一些实施例中,第一导电结构22以及第二导电结构24由多晶硅所形成,且因此可通过氧化局部形成介电层30,覆盖第一导电结构22的侧壁22S以及第二导电结构24的侧壁24S。
如图5C中所绘示,放置于第一导电结构22的上表面22U上方的帽盖层26以及放置于第一导电结构22的侧壁22S上的介电层30由屏蔽层14阻挡。然后,屏蔽层14所暴露的介电层30被去除,得到第一图案化介电层32。屏蔽层14所暴露的介电层30可通过非等向性蚀刻或等向性蚀刻而蚀刻。在一些实施例中,放置于第一导电结构22的侧壁22S上方的图案化介电层30具有大体上I形结构。之后,去除屏蔽层14。
如图5D中所绘示,形成第二介电层34,覆盖第一图案化介电层32、第一导电结构22以及第二导电结构24。
如图5E中所绘示,放置于第一导电结构22的上表面22U以及第二导电结构24的上表面24U上方的第二介电层34被去除。由于靠近第一导电结构22的侧壁22S以及第二导电结构24的侧壁24S的第二介电层34的厚度大于靠近第一导电结构22的上表面22U、第二导电结构24的上表面24U以及衬底10的表面的第二介电层34的厚度,第二介电层34可藉由蚀刻去除而不需屏蔽层。举例来说,放置于第一导电结构22的上表面22U以及第二导电结构24的上表面24U上方的第二介电层34是通过非等向性蚀刻例如干式蚀刻去除。因此,在第一导电结构22的侧壁22S上以及在第二导电结构24的侧壁24S上留下的第二介电层34具有大体上弧形结构。
据此,在侧向方向L上堆叠且放置于第一导电结构22的侧壁22S上的第一图案化介电层32以及第二介电层34形成第一间隔件结构36。再者,放置于第二导电结构24的侧壁24S上的第二介电层34形成第二间隔件结构38。第一间隔件结构36的宽度W1大于第二间隔件结构24的宽度W2。
在本揭露中,具有两个不同间隔件宽度的间隔件结构可以以一个图案化操作成形加工。类似地,具有三或更多个间隔件宽度的间隔件结系可以以二或更多个图案化操作成形加工。例如,本揭露的间隔件结构可包括第一间隔件结构,自一个介电层形成且具有第一宽度;第二间隔件结构,自两个介电层形成且具有第二宽度;以及第三间隔件结构,自三个介电层形成且具有第三宽度。具有三个不同间隔件宽度的间隔件结构可以以两个图案化操作成形加工,且因此减少制造成本。
在本揭露中,第一间隔件结构包含比第二间隔件结构还多的介电层堆叠在侧向方向上。在一些实施例中,第一间隔件结构包含第一介电层以及第二介电层堆叠在侧向方向上,以及第二间隔件结构包含所述第二介电层。也就是说,一些介电层被第一间隔件结构以及第二间隔件结构二者所共享,而一些介电层对第一间隔件结构以及第二间隔件结构而言不是共同的。本揭露的间隔件结构自对齐、较不复杂且可与标准集成电路成形加工兼容。具有不同间隔件宽度的间隔件结构用来作为具不同施加电压的不同半导体装置(例如低电压MOSFET装置以及高电压MOSFET装置)的侧壁间隔件。替代地,具有不同间隔件宽度的间隔件结构也可施加至不同装置,例如互补式金属氧化物半导体(complementary metal-oxide-semiconductor,CMOS)装置的p型金属氧化物半导体(p-type metal-oxide-semiconductor,PMOS)装置以及n型金属氧化物半导体(n-type metal-oxide-semiconductor,NMOS)装置、要求多电压域(multiple voltage domain,VDD)应用的装置、具不同尺寸的内存装置、或具有不同规格和/或功能性的其它装置。
具有不同间隔件宽度的间隔件结构也能够分别地优化不同装置的问题或特性。例如,可个别地修改不同装置中的热载体问题以及漏电流例如栅极诱导的漏极漏电(GIDL)。具有不同间隔件宽度的间隔件结构使得更容易将不同装置整合到一个芯片中而不需抵损性能,且因此可减少制造成本。
在一种示范性方面中,提供了一种用于制造间隔件结构的方法。所述方法包括下列操作。接收衬底。形成第一导电结构以及第二导电结构于所述衬底上方。形成第一图案化介电层,以覆盖所述第一导电结构以及暴露出所述第二导电结构。形成第二介电层,以覆盖所述第一图案化介电层以及所述第二导电结构的上表面及侧壁。去除放置于所述第一导电结构的上表面以及所述第二导电结构的所述上表面上方的所述第二介电层。放置于所述第一导电结构的所述侧壁上的所述第一图案化介电层以及所述第二介电层系形成第一间隔件结构,以及放置于所述第二导电结构的所述侧壁上的所述第二介电层系形成第二间隔件结构。所述第一间隔件结构在宽度上大于所述第二间隔件结构。
在另一种示范性方面中,提供了一种用于制造间隔件结构的方法。所述方法包括下列操作。提供衬底。放置第一栅极结构以及第二栅极结构于所述衬底上方。形成第一介电层,以覆盖所述第一栅极结构以及所述第二栅极结构。形成屏蔽层,以阻挡于所述第一栅极结构上方的所述第一介电层以及暴露出于所述第二栅极结构上方的所述第一介电层。蚀刻所述屏蔽层所暴露的所述第一介电层,以暴露出所述第二栅极结构。去除所述屏蔽层。形成第二介电层,以覆盖于所述第一栅极结构上方的所述第一介电层以及所述第二栅极结构。在没有屏蔽层下,蚀刻于所述第一栅极结构的一上表面以及所述第二栅极结构的上表面上方的所述第二介电层。
在又另一方面中,提供了一种间隔件结构。所述间隔件结构包括衬底、第一导电结构、第一间隔件结构、第二导电结构、以及第二间隔件结构。所述第一导电结构放置于所述衬底上方。所述第一间隔件结构放置于所述第一导电结构的侧壁上。所述第二导电结构放置于所述衬底上方。所述第二间隔件结构放置于所述第二导电结构的侧壁上。所述第一间隔件结构包含比所述第二间隔件结构还多的介电层堆叠在侧向方向上,以及所述第一间隔件结构在宽度上大于所述第二间隔件结构。
前面列述了数个实施例的结构以便所属领域的一般技术人员可更优选地理解本揭露的方面。所属领域的一般技术人员应了解他们可轻易地使用本揭露作为用以设计或修改其它工艺及结构的基础以实现本文中所介绍实施例的相同目的和/或达成本文中所介绍实施例的相同优点。所属领域的一般技术人员也应体认到这些均等构造不会脱离本揭露的精神及范围,以及他们可在不脱离本揭露的精神及范围下做出各种改变、取代或替代。
符号说明
10 衬底
12 栅极介电层/第一导电结构
14 屏蔽层/第二导电结构
22 第一导电结构
24 第二导电结构/第二间隔件结构
22U 上表面
24U 上表面
22S 侧壁
24S 侧壁
26 帽盖层
30 介电层/图案化介电层
32 第一图案化介电层
34 第二介电层
36 第一间隔件结构
38 第二间隔件结构
100 方法
110 操作
120 操作
130 操作
140 操作
150 操作
L 侧向方向
W1、W2 宽度

Claims (10)

1.一种用于制造间隔件结构的方法,其包括:
形成各自放置于具有由硅组成的顶表面的衬底上的第一SRAM装置的第一导电栅极结构和第二SRAM装置的第二导电栅极结构,其中所述第一导电栅极结构或所述第二导电栅极结构中的一个大于所述第一导电栅极结构或所述第二导电栅极结构中的另一个;
在所述第一导电栅极结构和所述第二导电栅极结构中的每一个上方设置帽盖层,其中所述帽盖层是自对准硅化物;
氧化所述第一导电栅极结构的侧壁和所述第二导电栅极结构的侧壁以分别形成第一介电层和第二介电层;
在所述氧化之后,在所述第一导电栅极结构上方形成掩模元件且在所述掩模元件位于所述第一导电栅极结构上方的同时去除所述第二介电层;
形成覆盖所述第一介电层且覆盖所述帽盖层的上表面和所述第二导电栅极结构的侧壁的第三介电层,其中所述第三介电层是二氧化硅;及
去除放置于位于所述第一导电栅极结构上方的所述帽盖层的上表面和位于所述第二导电栅极结构上方的所述帽盖层的所述上表面上方的所述第三介电层,其中所述去除所述第三介电层在不具有掩模层的情况下执行,且
其中在所述去除之后,所述第一介电层和所述第三介电层放置于所述第一导电栅极结构的侧壁上且形成第一间隔件结构,其中在所述第一间隔件结构具有直接介接所述衬底的所述顶表面和所述第三介电层的所述第一介电层中且所述第三介电层直接介接所述衬底的所述顶表面,且
其中在所述去除之后,所述第三介电层放置于所述第二导电栅极结构的所述侧壁和所述衬底的所述顶表面上且形成第二间隔件结构,且
其中所述第一间隔件结构在宽度上大于所述第二间隔件结构,且其中所述第一间隔件结构包含延伸第一高度的所述第一介电层和延伸第二高度的所述第三介电层,所述第二高度大于所述第一高度。
2.根据权利要求1所述的方法,其中所述帽盖层中的每一个延伸所述第二高度。
3.根据权利要求2所述的方法,其中所述氧化包含氧化所述第一导电栅极结构的多晶硅和所述第二导电栅极结构的多晶硅。
4.根据权利要求1所述的方法,其中所述去除所述第二介电层包含执行蚀刻。
5.一种用于制造间隔件结构的方法,其包括:
形成具有放置于其上的自对准硅化物的第一帽盖结构且放置于具有第一组合物的顶部表面的绝缘体上硅SOI衬底上方的第一栅极结构,其中所述第一栅极结构具有第一组尺寸;
形成具有其上的自对准硅化物的第二帽盖结构且安置于所述衬底上方且在所述第一组合物的所述顶表面上的第二栅极结构,其中所述第二栅极结构具有第二组尺寸,其中所述第一栅极结构用于SRAM装置且所述第二栅极结构用于另一SRAM装置,所述第二组尺寸不同于所述第一组尺寸;
通过氧化过程生长覆盖所述第一栅极结构的侧壁和所述第二栅极结构的侧壁的第一介电层,其中所述第一介电层基本上I形介接所述第一组合物的所述顶表面;
形成硬掩模层以阻断所述第一栅极结构上方的所述第一介电层且以暴露所述第二栅极结构上方的所述第一介电层;
蚀刻由所述硬掩模层暴露的所述第一介电层以暴露所述第二栅极结构的所述侧壁;
去除所述硬掩模层;
在所述第一栅极结构和所述第二栅极结构上方、包含在所述第一帽盖结构和所述第二帽盖结构上方形成覆盖所述第一介电层的第二二氧化硅介电层,其中所述第二介电层介接所述第一组合物的所述顶表面;及
在不具有掩模层的情况下从所述第一栅极结构的所述第一帽盖结构的上表面和所述第二栅极结构的所述第二帽盖结构的上表面上方蚀刻所述第二介电层,使得在所述蚀刻所述第二介电层之后所述第二介电层直接介接所述第一帽盖结构和所述第二帽盖结构中的每一个的侧壁;
其中用于所述SRAM装置的所述第一栅极结构的间隔件元件由所述第一介电层和所述第二介电层组成以形成用于所述第一栅极结构的第一宽度的间隔件元件,且其中用于所述另一SRAM装置的所述第二栅极结构的间隔件元件由所述第二介电层组成以形成用于所述第二栅极结构的第二宽度的所述间隔件元件,其中所述第二宽度小于所述第一宽度。
6.根据权利要求5所述的方法,其中所述第一栅极结构和所述第二栅极结构由多晶硅形成。
7.根据权利要求5所述的方法,其中所述第一介电层和所述第二介电层由不同介电材料形成。
8.一种制造半导体装置的方法,所述方法包括:
设置放置于衬底上方的第一SRAM装置的第一导电栅极结构和第二SRAM装置的第二导电栅极结构,其中所述第一SRAM装置和所述第二SRAM装置具有不同栅极尺寸,所述第一导电栅极结构比所述第二导电栅极结构的栅极尺寸更大或更小;
形成放置于所述第一导电栅极结构的侧壁上的第一间隔件结构,其中所述第一间隔件结构从所述第一导电栅极结构的顶表面延伸到所述第一导电栅极结构的底表面;
在所述第一导电栅极结构和所述第二导电栅极结构中的每一个上形成自对准硅化物帽盖层,其中所述第一间隔件结构具有与所述自对准硅化物帽盖层的底表面共面的顶表面;
在形成所述自对准硅化物帽盖层且形成所述第一间隔件结构之后且在不执行植入过程的情况下,使包括二氧化硅的介电材料沉积在所述第一导电栅极结构和所述第二导电栅极结构上方,其中所述介电材料直接介接所述第一间隔件结构且直接介接所述第二导电栅极结构的侧壁;及
在掩模元件不放置于所述衬底上的情况下,蚀刻所述介电材料以形成直接放置在所述第二导电栅极结构的侧壁上且直接在所述第一导电栅极结构上的所述第一间隔件结构的侧壁上的第二间隔件结构,其中在所述蚀刻之后所述第二间隔件结构介接所述第一间隔件结构的顶表面、所述衬底的顶表面及所述帽盖层的侧壁,由此形成具有由所述第二间隔件结构组成的间隔件的所述第二导电栅极结构且所述第二导电栅极结构的所述间隔件具有第一宽度,且所述第一导电栅极结构具有由所述第一间隔件结构和所述第二间隔件结构组成的间隔件且所述第一导电栅极结构的所述间隔件具有大于所述第一宽度的第二宽度。
9.根据权利要求8所述的方法,其中所述第二间隔件结构具有与所述第一导电栅极结构上的所述帽盖层的顶表面共面的顶表面和与所述第一间隔件结构的底表面共面的底表面。
10.根据权利要求9所述的方法,其中所述第二间隔件结构直接介接所述第二导电栅极结构上的所述自对准硅化物帽盖层的侧壁。
CN202110180162.8A 2015-12-31 2016-12-30 间隔件结构及其制造方法 Pending CN112992793A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562273471P 2015-12-31 2015-12-31
US62/273,471 2015-12-31
US15/200,503 2016-07-01
US15/200,503 US10868141B2 (en) 2015-12-31 2016-07-01 Spacer structure and manufacturing method thereof
CN201611255915.2A CN106997848A (zh) 2015-12-31 2016-12-30 间隔件结构及其制造方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201611255915.2A Division CN106997848A (zh) 2015-12-31 2016-12-30 间隔件结构及其制造方法

Publications (1)

Publication Number Publication Date
CN112992793A true CN112992793A (zh) 2021-06-18

Family

ID=59226818

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202110180162.8A Pending CN112992793A (zh) 2015-12-31 2016-12-30 间隔件结构及其制造方法
CN201611255915.2A Pending CN106997848A (zh) 2015-12-31 2016-12-30 间隔件结构及其制造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201611255915.2A Pending CN106997848A (zh) 2015-12-31 2016-12-30 间隔件结构及其制造方法

Country Status (3)

Country Link
US (2) US10868141B2 (zh)
CN (2) CN112992793A (zh)
TW (1) TWI639211B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11335569B2 (en) * 2020-06-17 2022-05-17 Winbond Electronics Corp. Conductive wire structure and manufacturing method thereof
FR3139233A1 (fr) * 2022-08-25 2024-03-01 Stmicroelectronics (Rousset) Sas Procédé de fabricaiton d’un circuit intégré et circuit intégré correspondant

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165880A (en) 1998-06-15 2000-12-26 Taiwan Semiconductor Manufacturing Company Double spacer technology for making self-aligned contacts (SAC) on semiconductor integrated circuits
JP2001093984A (ja) 1999-09-20 2001-04-06 Matsushita Electronics Industry Corp 半導体装置およびその製造方法
US6294480B1 (en) * 1999-11-19 2001-09-25 Chartered Semiconductor Manufacturing Ltd. Method for forming an L-shaped spacer with a disposable organic top coating
US20020177284A1 (en) * 2001-05-23 2002-11-28 Promos Technologies Method of using sacrificial spacers to reduce short channel effect
KR100441682B1 (ko) 2001-06-14 2004-07-27 삼성전자주식회사 엘디디형 소오스/드레인 영역을 갖는 반도체 장치 및 그제조 방법
US6506642B1 (en) * 2001-12-19 2003-01-14 Advanced Micro Devices, Inc. Removable spacer technique
US6664156B1 (en) * 2002-07-31 2003-12-16 Chartered Semiconductor Manufacturing, Ltd Method for forming L-shaped spacers with precise width control
US7348245B2 (en) * 2003-04-28 2008-03-25 Renesas Technology Corp. Semiconductor device and a method of manufacturing the same
US20050045949A1 (en) 2003-08-28 2005-03-03 Chun-Chieh Lin Ultra-thin body transistor with recessed silicide contacts
DE10339989B4 (de) * 2003-08-29 2008-04-17 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines konformen Abstandselements benachbart zu einer Gateelektrodenstruktur
TWI231989B (en) * 2003-11-18 2005-05-01 Promos Technologies Inc Method of fabricating a MOSFET device
JP4971593B2 (ja) * 2005-01-11 2012-07-11 ラピスセミコンダクタ株式会社 半導体装置の製造方法
JP4551795B2 (ja) * 2005-03-15 2010-09-29 Okiセミコンダクタ株式会社 半導体装置の製造方法
US7569888B2 (en) * 2005-08-10 2009-08-04 Toshiba America Electronic Components, Inc. Semiconductor device with close stress liner film and method of manufacturing the same
JP2007081249A (ja) * 2005-09-15 2007-03-29 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US7541239B2 (en) * 2006-06-30 2009-06-02 Intel Corporation Selective spacer formation on transistors of different classes on the same device
US7494878B2 (en) * 2006-10-25 2009-02-24 United Microelectronics Corp. Metal-oxide-semiconductor transistor and method of forming the same
JP2008117848A (ja) * 2006-11-01 2008-05-22 Nec Electronics Corp 半導体装置の製造方法
JP5040286B2 (ja) 2006-12-13 2012-10-03 富士通セミコンダクター株式会社 半導体装置および半導体装置の製造方法
US20090146181A1 (en) * 2007-12-07 2009-06-11 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing diffused source/drain extensions
US7820518B2 (en) * 2008-05-29 2010-10-26 Infineon Technologies Ag Transistor fabrication methods and structures thereof
US8193586B2 (en) 2008-08-25 2012-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing structure for high-K metal gate
US8236678B2 (en) 2008-12-17 2012-08-07 Globalfoundries Singapore Pte. Ltd. Tunable spacers for improved gapfill
JP5578952B2 (ja) * 2009-08-19 2014-08-27 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
CN102110651B (zh) * 2009-12-29 2014-01-29 中国科学院微电子研究所 一种半导体器件及其制造方法
US8450834B2 (en) * 2010-02-16 2013-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer structure of a field effect transistor with an oxygen-containing layer between two oxygen-sealing layers
US9013003B2 (en) * 2012-12-27 2015-04-21 United Microelectronics Corp. Semiconductor structure and process thereof
US9224655B2 (en) * 2013-03-11 2015-12-29 Globalfoundries Inc. Methods of removing gate cap layers in CMOS applications
US9209302B2 (en) 2013-03-13 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of reducing the heights of source-drain sidewall spacers of FinFETs through etching
CN104733388B (zh) * 2013-12-20 2017-10-31 中芯国际集成电路制造(上海)有限公司 高介电常数绝缘层金属栅半导体器件制造方法
US20150228546A1 (en) * 2014-02-11 2015-08-13 United Microelectronics Corp. Semiconductor device and method of removing spacers on semiconductor device

Also Published As

Publication number Publication date
US10868141B2 (en) 2020-12-15
US10937891B2 (en) 2021-03-02
US20170194455A1 (en) 2017-07-06
CN106997848A (zh) 2017-08-01
TWI639211B (zh) 2018-10-21
US20180337249A1 (en) 2018-11-22
TW201725654A (zh) 2017-07-16

Similar Documents

Publication Publication Date Title
CN112018111B (zh) 半导体器件及其制造方法及包括该器件的电子设备
KR102042476B1 (ko) 나노와이어 트랜지스터에 대한 누설 감소 구조체
CN106971978B (zh) 用于非平面半导体器件架构的精密电阻器
CN100546047C (zh) 形成于soi上的平面和多栅极晶体管结构及其制造方法
US11367782B2 (en) Semiconductor manufacturing
US9590059B2 (en) Interdigitated capacitor to integrate with flash memory
US7804140B2 (en) Field effect transistor with reduced shallow trench isolation induced leakage current
US7550330B2 (en) Deep junction SOI MOSFET with enhanced edge body contacts
US10177246B2 (en) Semiconductor structure and fabrication method thereof
US7993989B2 (en) Vertical spacer forming and related transistor
US9548317B2 (en) FDSOI semiconductor structure and method for manufacturing the same
US10937891B2 (en) Spacer structure and manufacturing method thereof
US9419015B1 (en) Method for integrating thin-film transistors on an isolation region in an integrated circuit and resulting device
US8878253B2 (en) Semiconductor devices
US20220271141A1 (en) Mosfet device structure with air-gaps in spacer and methods for forming the same
US9905480B1 (en) Semiconductor devices and methods for forming the same
CN107123651B (zh) 非易失性存储器的制造方法及非易失性存储器
KR20150074629A (ko) 반도체 장치 및 그 제조 방법
CN112151610B (zh) 半导体元件及其制备方法
US20220293472A1 (en) Method for manufacturing fin field effect transistor
US20240243180A1 (en) Semiconductor device and method of fabricating the same
US8487360B2 (en) Semiconductor memory devices with high gate coupling ratio and methods of manufacturing the same
KR20110030078A (ko) 반도체 소자 및 그 제조 방법
CN108231778B (zh) 半导体元件及其制作方法
KR20050094295A (ko) 플래쉬 메모리 소자 및 그 제조 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination