CN111710641B - Substrate lifting mechanism, substrate supporter and substrate processing apparatus - Google Patents

Substrate lifting mechanism, substrate supporter and substrate processing apparatus Download PDF

Info

Publication number
CN111710641B
CN111710641B CN202010157227.2A CN202010157227A CN111710641B CN 111710641 B CN111710641 B CN 111710641B CN 202010157227 A CN202010157227 A CN 202010157227A CN 111710641 B CN111710641 B CN 111710641B
Authority
CN
China
Prior art keywords
bellows
substrate
pin
lifting
vertical direction
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202010157227.2A
Other languages
Chinese (zh)
Other versions
CN111710641A (en
Inventor
出口新悟
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN111710641A publication Critical patent/CN111710641A/en
Application granted granted Critical
Publication of CN111710641B publication Critical patent/CN111710641B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

The invention provides a substrate lifting mechanism, a substrate supporter and a substrate processing apparatus. In the substrate lifting mechanism of one exemplary embodiment, a driving mechanism for lifting the lifting pin supports the lifting pin so as to allow the lifting pin to horizontally move. The plurality of bellows are arranged in a vertical direction so as to surround the lift pin. The plurality of bellows includes a 1 st bellows and a 2 nd bellows. The 1 st bellows is disposed at an uppermost position among the plurality of bellows. The 1 st bellows has an upper end as a fixed end and a lower end horizontally movable together with the lift pin. The 2 nd bellows is provided below the 1 st bellows and can extend and retract in the vertical direction in conjunction with the lifting of the lifting pin. The restriction mechanism restricts the expansion and contraction in the vertical direction of the 1 st bellows. The invention can allow the horizontal movement of a lifting pin and shorten the total length of a plurality of corrugated pipes for sealing the surrounding space of the lifting pin.

Description

Substrate lifting mechanism, substrate supporter and substrate processing apparatus
Technical Field
The exemplary embodiments of the present invention relate to a substrate lifting mechanism, a substrate supporter, and a substrate processing apparatus.
Background
Substrate processing apparatus are used in the manufacture of electronic devices, such as flat panel displays. A substrate processing apparatus is described in patent document 1. The substrate processing apparatus described in patent document 1 includes a chamber and a substrate mounting mechanism.
The substrate mounting mechanism includes a base, a lift pin, and a driving section. The susceptor is disposed in the chamber and configured to support a substrate placed on a placement surface thereof. The lift pins are configured to be capable of supporting the substrate at the front ends thereof. The lift pin extends from the inside to the outside of the chamber, and is connected to the driving unit at the outside of the chamber. The driving unit moves the lift pin up and down, and moves the tip of the lift pin between a position above the mounting surface and a position below the mounting surface. The lift pin extends through the through hole of the chamber, and a flange is provided outside the chamber. In order to ensure the airtightness of the inner space of the chamber, a bellows is provided between the flange and the chamber so as to surround the lifter pin.
Prior art literature
Patent literature
Patent document 1: japanese patent laid-open No. 2008-60285
Disclosure of Invention
Technical problem to be solved by the invention
A technique is sought that can allow horizontal movement of the lift pins and shorten the overall length of the plurality of bellows that seals the space around the lift pins.
Technical scheme for solving technical problems
In one exemplary embodiment, a substrate lifting mechanism for lifting a substrate relative to an upper surface of a stage disposed within a chamber of a substrate processing apparatus is provided. The substrate lifting mechanism comprises a lifting pin, a driving mechanism, a plurality of corrugated pipes and a limiting mechanism. The lift pins are configured to be capable of supporting the substrate at the front ends thereof. The driving mechanism is configured to support the lift pin so as to allow horizontal movement of the lift pin, and to be able to lift the lift pin. The plurality of bellows are arranged in a vertical direction in such a manner as to surround the lift pin to seal a space around the lift pin. The plurality of bellows includes a 1 st bellows and a 2 nd bellows. The 1 st bellows is disposed at an uppermost position among the plurality of bellows. The 1 st bellows has an upper end and a lower end. The upper end of the 1 st corrugated pipe is a fixed end. The lower end of the 1 st bellows can move horizontally together with the lifting pin. The 2 nd corrugated pipe is arranged below the 1 st corrugated pipe. The 2 nd bellows can extend and retract in the vertical direction in conjunction with the lifting of the lifting pin. The restricting mechanism is configured to restrict expansion and contraction in the vertical direction of the 1 st bellows.
Effects of the invention
According to one exemplary embodiment, the entire length of the plurality of bellows sealing the space around the lift pin can be shortened while allowing the horizontal movement of the lift pin.
Drawings
Fig. 1 schematically shows a substrate processing apparatus according to an exemplary embodiment.
Fig. 2 is a cross-sectional view of a substrate lift mechanism of an exemplary embodiment.
Fig. 3 is a cross-sectional view of a substrate lift mechanism of an exemplary embodiment.
Explanation of the drawings
50 … … substrate lifting mechanism
52 … … lifting pin
54 … … driving mechanism
56 … … corrugated pipe
561 … … 1 st corrugated pipe
561a … … upper end
561b … … lower end
562 … … No. 2 corrugated pipe
58 … … restraining means.
Detailed Description
Various exemplary embodiments are described below.
In one exemplary embodiment, a substrate lifting mechanism for lifting a substrate relative to an upper surface of a stage disposed within a chamber of a substrate processing apparatus is provided. The substrate lifting mechanism comprises a lifting pin, a driving mechanism, a plurality of corrugated pipes and a limiting mechanism. The lift pins are configured to be capable of supporting the substrate at the front ends thereof. The driving mechanism is configured to support the lift pin so as to allow horizontal movement of the lift pin, and to be able to lift the lift pin. The plurality of bellows are arranged in a vertical direction in such a manner as to surround the lift pin to seal a space around the lift pin. The plurality of bellows includes a 1 st bellows and a 2 nd bellows. The 1 st bellows is disposed at an uppermost position among the plurality of bellows. The 1 st bellows has an upper end and a lower end. The upper end of the 1 st corrugated pipe is a fixed end. The lower end of the 1 st bellows can move horizontally together with the lifting pin. The 2 nd corrugated pipe is arranged below the 1 st corrugated pipe. The 2 nd bellows can extend and retract in the vertical direction in conjunction with the lifting of the lifting pin. The restricting mechanism is configured to restrict expansion and contraction in the vertical direction of the 1 st bellows.
In the substrate lifting mechanism of the above embodiment, the lower end of the 1 st bellows can be horizontally moved with respect to the upper end as the fixed end. Therefore, the horizontal movement of the lift pins in the plurality of bellows can be allowed. In the substrate lifting mechanism of the above embodiment, the expansion and contraction in the vertical direction of the 1 st bellows is restricted, so the expansion and contraction in the vertical direction of the bellows group including a plurality of bellows is realized by the 2 nd bellows. Thus, the 2 nd bellows can be selected irrespective of the stroke length of each pitch in the vertical direction of the 1 st bellows. Therefore, the length of the 2 nd bellows can be shortened, and the total length of the plurality of bellows can be shortened.
In an exemplary embodiment, the limiting mechanism may also include a 1 st stopper and a 2 nd stopper. In this embodiment, the 1 st stopper is provided to restrict the shrinkage of the 1 st bellows in the vertical direction. The 2 nd stopper is provided to restrict elongation in the vertical direction of the 1 st bellows.
In one exemplary embodiment, the lower end of the 1 st bellows includes a flange. The 1 st stopper may extend above or over the flange in such a manner as to limit the shrinkage of the 1 st bellows in the vertical direction. The 2 nd stopper may extend below or under the flange in such a manner as to limit elongation of the 1 st bellows in the vertical direction.
In one exemplary embodiment, the 1 st bellows may have an inner diameter larger than that of the 2 nd bellows. According to this embodiment, a larger horizontal movement of the lift pin can be allowed.
In one exemplary embodiment, the 2 nd bellows may have an outer diameter smaller than that of the 1 st bellows. According to this embodiment, the material constituting the 2 nd corrugated tube can be reduced.
In one exemplary embodiment, a part of the lift pins extends through a through hole formed in the chamber and a through hole formed in the stage, and can move horizontally in conjunction with thermal deformation of the stage. The driving mechanism is fixed in the cavity. The upper end of the 1 st bellows is fixed to the chamber so as to seal a through hole formed in the chamber.
In an exemplary embodiment, the substrate lift mechanism may also include a pin guide. The pin guide has a cylindrical shape, extends below the mounting table, and provides an inner hole connected to a through hole formed in the mounting table. The pin guide is fixed to the mounting table. A portion of the lift pin extends within the inner bore of the pin guide.
In one exemplary embodiment, the lift pin may include a pin body and a columnar pin holder. The pin body includes a front end of the lifting pin extending in a vertical direction. The pin holder supports the pin body, and extends downward from the pin body.
In an exemplary embodiment, the drive mechanism may also include a centering device, a drive shaft, and a drive device. The centering device supports the lift pin in a manner that allows horizontal movement of the lift pin. The drive shaft extends in a vertical direction below the centering device. The driving device is configured to be capable of elevating and lowering the driving shaft.
In one exemplary embodiment, a centering device includes a base and a bearing table. The base is disposed above and supported by the drive shaft. The carrying platform is supported on the base in a manner of being capable of horizontally moving. The lower end of the lifting pin is fixed on the bearing table. The lower end of the 2 nd bellows is fixed to the stage so as to seal the lower end opening of the 2 nd bellows.
In another exemplary embodiment, a substrate support is provided. The substrate support includes a stage and any of the substrate lifting mechanisms of the exemplary embodiments described above. The stage is disposed within a chamber of the substrate processing apparatus. The substrate lifting mechanism is configured to be capable of lifting and lowering the substrate relative to the upper surface of the mounting table.
In one exemplary embodiment, the substrate holder may further include a heater provided in the stage.
In yet another exemplary embodiment, a substrate processing apparatus is provided. The substrate processing apparatus includes the substrate support and chamber of the exemplary embodiments described above. The stage of the substrate supporter is accommodated in the inner space of the chamber.
In one exemplary embodiment, the substrate processing apparatus may be a film forming apparatus.
Various exemplary embodiments are described in detail below with reference to the drawings. In addition, the same or corresponding parts are denoted by the same reference numerals in the drawings.
Fig. 1 schematically shows a substrate processing apparatus according to an exemplary embodiment. Fig. 1 shows a substrate processing apparatus according to an exemplary embodiment in partial cutaway. The substrate processing apparatus 1 shown in fig. 1 is an apparatus used in substrate processing of a substrate S. In one embodiment, the substrate processing apparatus 1 is a film forming apparatus. The substrate processing apparatus 1 is used, for example, in the manufacture of flat panel displays. The substrate S can be a substantially rectangular glass substrate, but is not limited thereto.
The substrate processing apparatus 1 includes a chamber 10 and a substrate holder 12. The chamber 10 is a container providing an interior space. The chamber 10 can have a generally square cylindrical shape. The chamber 10 is formed of, for example, aluminum. The chamber 10 has, for example, a surface treated with alumite (anodized).
The side walls of the chamber 10 provide openings 10p. The substrate S passes through the opening 10p while being conveyed between the inner space of the chamber 10 and the outside of the chamber 10. The substrate processing apparatus 1 may further have a gate valve 10g. Gate valve 10g is disposed along a sidewall of chamber 10. The gate valve 10g is used to open and close the opening 10p.
In one embodiment, the substrate processing apparatus 1 further comprises a gas shower head 14. The gas shower head 14 is provided to close an upper opening of the chamber 10. The gas shower head 14 provides a gas diffusion chamber 14d therein. The gas diffusion chamber 14d is connected to the gas introduction port 14 i. The gas introduction port 14i is connected to the pipe 16. The tube 16 is connected to a gas source 18 via a valve 20 and a flow controller 22. The gas source 18 is a source of gas used for substrate processing in the substrate processing apparatus 1. The flow controller 22 is, for example, a mass flow controller. The gas shower head 14 also provides a plurality of gas exhaust holes 14a. The plurality of gas discharge holes 14a extend downward from the gas diffusion chamber 14d, and open into the inner space of the chamber 10. In the substrate processing apparatus 1, gas from the gas source 18 is introduced into the gas diffusion chamber 14d. The gas introduced into the gas diffusion chamber 14d is discharged from the plurality of gas discharge holes 14a into the inner space of the chamber 10.
The chamber 10 has a bottom 10b. More than one exhaust hole 10e is formed in the bottom 10b. The substrate processing apparatus 1 further includes one or more exhaust units 24. In the illustrated example, a plurality of exhaust holes 10e are formed in the bottom 10b, and the substrate processing apparatus 1 includes a plurality of exhaust units 24. The more than one exhaust units 24 each include a tube 26, a pressure regulator 28, and an exhaust 30. The pipe 26 is connected to the corresponding exhaust hole 10e. The tube 26 is connected to an exhaust 30 via a pressure regulator 28. The pressure regulator 28 is, for example, an automatic pressure regulating valve. The exhaust device 30 includes one or more pressure reducing pumps such as a dry pump and a turbo molecular pump.
The substrate support 12 includes a stage 40 and one or more substrate lifting mechanisms 50. The stage 40 is accommodated in the inner space of the chamber 10. The stage 40 is provided on the bottom of the chamber 10 via a spacer 42. The spacer 42 is formed of, for example, an insulator. The mounting table 40 is formed of aluminum, for example. The stage 40 has an upper surface on which the substrate is placed. In the substrate processing apparatus 1, the substrate S is processed in a state of being placed on the upper surface of the stage 40. In one embodiment, a heater HT may be provided inside the mounting table 40. The heater HT can be a resistive heating element.
The one or more substrate lifting mechanisms 50 are configured to be capable of lifting and lowering the substrate S relative to the upper surface of the mounting table 40. The one or more substrate lifting mechanisms 50 each have a lifting pin 52. The one or more substrate elevating mechanisms 50 each raise and lower the elevating pin 52 so that the tip (upper end) of the elevating pin 52 moves between the 1 st position and the 2 nd position. The 1 st position is a position above the upper surface of the mounting table 40. The 2 nd position is a position at the same level as the upper surface of the mounting table 40 or a position below the upper surface of the mounting table 40. When the front end of the lift pin 52 is at the 1 st position, the substrate S is positioned above the upper surface of the stage 40. When the front end of the lift pin 52 is at the 1 st position, the substrate S is handed over between the conveyor and the front end of the lift pin 52. When the front end of the lift pin 52 is at the 2 nd position, the substrate S is disposed on the upper surface of the stage 40.
In one embodiment, the substrate processing apparatus 1 further includes a control unit CU. The control unit CU may be a computer device including a processor such as a CPU, a storage device such as a memory, an input device such as a keyboard, and a display device. The control unit CU is configured to execute a control program stored in the memory device by the processor, and to control each unit of the substrate processing apparatus 1 in accordance with recipe data stored in the memory device.
In the case where the substrate processing apparatus 1 has a plurality of substrate elevating mechanisms 50, the substrate elevating mechanisms 50 may have the same configuration. Therefore, one substrate elevating mechanism 50 will be described in detail below. In the following description, reference is made to fig. 2 and 3 in addition to fig. 1. Fig. 2 and 3 are each a cross-sectional view of a substrate lift mechanism of an exemplary embodiment.
The substrate lifting mechanism 50 includes the lifting pin 52, the driving mechanism 54, the plurality of bellows 56, and the restricting mechanism 58 described above. The lift pin 52 has a substantially cylindrical shape, and extends in the vertical direction. The lift pins 52 are configured to be able to support the substrate S at the front ends (upper ends) thereof as described above.
In one embodiment, the lift pin 52 includes a pin body 52m and a pin holder 52a. The pin main body 52m has a substantially cylindrical shape. The pin main body 52m extends in the vertical direction. The pin main body 52m provides the front end of the lift pin 52. The pin holder 52a has a substantially cylindrical shape. The pin holder 52 supports the pin body 52m, and extends downward from the pin body 52 m.
A through hole 10h extending in the vertical direction is formed in the bottom 10b of the chamber 10. Further, a through hole 40h extending in the vertical direction is formed in the mounting table 40. In one embodiment, the substrate lift mechanism 50 further includes a pin guide 60. The pin guide 60 is a substantially cylindrical member. The pin guide 60 is fixed to the mounting table 40 such that the inner hole thereof is connected to the through hole 40h. The pin guides 60 extend downward from the mounting table 40. For example, the lower end of the pin guide 60 is located between the upper and lower open ends of the through hole 10h. The through hole 10h has a size set so as not to interfere with the pin guide 60 and the lift pin 52 even if the horizontal movement of the pin guide 60 occurs. Further, the horizontal movement of the pin guide 60 may be generated due to, for example, thermal deformation (e.g., thermal expansion) of the mounting table 40.
A part of the lift pin 52 extends through the through-hole 10h and the through-hole 40h. In one embodiment, a portion of the lift pin 52 extends in the pin guide 60. Specifically, the pin main body 52m extends in the vertical direction in the through hole 40h and the inner hole of the pin guide 60. The pin body 52m is capable of being lifted and lowered in the through hole 40h and the inner hole of the pin guide 60. An upper end portion of the pin holder 52a extends in the inner bore of the pin guide 60. The pin holder 52a extends downward of the bottom 10b of the chamber 10. The upper end portion of the pin holder 52a can be lifted and lowered in the inner hole of the pin guide 60.
The drive mechanism 54 is fixed to the chamber 10, for example, the bottom 10b. The driving mechanism 54 supports the lift pin 52 so as to allow the horizontal movement of the lift pin 52. The driving mechanism 54 is configured to be able to raise and lower the lift pin. In one embodiment, the drive mechanism 54 includes a centering device 62, a drive shaft 64, and a drive device 66.
The centering device 62 supports the lower end of the lift pin 52. The centering device 62 supports the lift pin 52 in a manner allowing horizontal movement of the lift pin 52. The centering device 62 includes, for example, a base 62b and a bearing table 62t. The carrying table 62t is disposed on the base 62b. The lower end of the lift pin 52 is fixed to the bearing table 62t. The susceptor 62t is supported by the base 62b so as to be horizontally movable. When a horizontal movement force that causes the lift pin 52 is applied to the lift pin 52, a horizontal movement of the mount 62t relative to a reference position on the base 62b is generated in conjunction with the lift pin 52. In the case where the force causing the horizontal movement of the lift pin 52 is not applied to the lift pin 52, the bearing table 62t is restored to the reference position on the base 62b. The restoration of the bearing table 62t to the reference position is achieved, for example, by the magnetic force of the magnet and/or the restoring force of the elastic member.
The drive shaft 64 extends in the vertical direction below the base 62b of the centering device 62. The drive shaft 64 supports the centering device 62, and specifically the base 62b. The drive shaft 64 is connected to a drive 66 disposed therebelow. The driving device 66 is configured to be capable of elevating and lowering the driving shaft 64. The drive 66 may comprise, for example, an electric motor. When the drive device 66 lifts the drive shaft 64, the lift pin 52 is lifted by the centering device 62.
In one embodiment, the drive mechanism 54 may also include a plurality of shafts 68 and a carrier 70. The plurality of axes 68 are, for example, linear axes. The plurality of shafts 68 extend in the vertical direction in parallel with each other. A plurality of shafts 68 are arranged around the lift pins 52. The upper ends of the plurality of shafts 68 are fixed to the bottom 10b of the chamber 10 via members 72. The member 72 is an annular plate material, and is disposed so as to surround the lift pin 52. The lower ends of the plurality of shafts 68 are fixed to a member 74. The member 74 is an annular plate material, and is disposed so as to surround the drive shaft 64. The drive 66 is disposed below the member 74.
The carriage 70 is configured to be slidable up and down along the plurality of shafts 68. In one embodiment, the carrier 70 may include a plurality of bearings. The plurality of bearings are each a sliding bearing or a ball bearing. A portion of each of the plurality of shafts 68 extends in a corresponding bearing. In this embodiment, the plurality of bearings smoothly move along the plurality of shafts 68, and thus smooth linear movement of the stage 70 can be achieved. The centering device 62 is arranged on the carrier 70. The base 62b of the centering device 62 is fixed to the carrying table 70. The upper end of drive shaft 64 is secured to carrier 70 via joint 76. The drive shaft 64 extends in the vertical direction below the stage 70.
A plurality of bellows 56 are disposed below the bottom 10b of the chamber 10. The plurality of bellows 56 are arranged in the vertical direction so as to surround the lift pins 52 in order to seal the space around the lift pins 52. The plurality of bellows 56 seal the through-hole 10h of the bottom 10b of the chamber 10, ensuring airtightness of the internal space of the chamber 10. The plurality of bellows 56 includes a 1 st bellows 561 and one or more 2 nd bellows 562. In the illustrated example, the plurality of bellows 56 includes a plurality of 2 nd bellows 562.
The 1 st bellows 561 is disposed at the most upper position among the plurality of bellows 56. The 1 st bellows 561 includes an upper end 561a and a lower end 561b. The upper end 561a of the 1 st bellows 561 is a fixed end fixed to the bottom 10b of the chamber 10. The upper end 561a of the 1 st bellows 561 is, for example, a flange, and has an annular shape. The upper end 561a of the 1 st bellows 561 is fixed to the bottom 10b of the chamber 10 so as to seal the through hole 10h of the chamber 10. A sealing member such as an O-ring may be provided between the upper end 561a of the 1 st bellows 561 and the bottom 10b of the chamber 10.
The lower end 561b of the 1 st bellows 561 can move horizontally together with the lift pin 52. The lower end 561b of the 1 st bellows 561 is, for example, a flange, having an annular shape. In one embodiment, the drive mechanism 54 also has a guide 78. The guide 78 has bearings. The bearings of the guide 78 may be plain bearings or ball bearings. The lift pin 52, specifically the pin holder 52a, extends through the inner bore of the bearing of the guide 78. The lower end 561b of the 1 st bellows 561 is provided on the guide 78 and is fixed to the guide 78. A sealing member such as an O-ring may be provided between the lower end 561b of the 1 st bellows 561 and the guide 78. When the horizontal movement of the lift pin 52 shown in fig. 2 occurs, the horizontal movement of the lower end 561b of the 1 st bellows 561 is generated as in fig. 3 together with the lift pin 52 and the guide 78.
More than one 2 nd bellows 562 are provided below the 1 st bellows 561. As shown in fig. 2 and 3, one or more 2 nd bellows 562 can extend and retract in the vertical direction in conjunction with the lifting of the lifting pin 52. Each of the one or more 2 nd bellows 562 is configured such that the entire thereof can be horizontally moved together with the lift pin 52. That is, each of the one or more 2 nd bellows 562 is horizontally movable without generating a difference in the amount of displacement in the horizontal direction between the upper end and the lower end thereof.
The upper ends 562a of one or more 2 nd bellows 562 are secured to the guide 78. The upper end 562a is, for example, a flange having an annular shape. A sealing member such as an O-ring may be provided between the upper end 562a of the 2 nd bellows 562 and the guide 78. In the case where the plurality of bellows 56 includes the plurality of 2 nd bellows 562, the upper end 562a is the upper end of the 2 nd bellows provided at the position most upward among the plurality of 2 nd bellows 562. In the case where the plurality of bellows 56 includes a plurality of 2 nd bellows 562, a guide 562g can be provided between the 2 nd bellows 562 adjacent in the vertical direction.
The lower ends 562b of one or more 2 nd bellows 562 are fixed to the bearing table 62t of the centering device 62 in such a manner as to close the lower end openings thereof. The lower end 562b is, for example, a flange having an annular shape. A sealing member such as an O-ring may be provided between the lower end 562b of the 2 nd bellows 562 and the bearing table 62t. In addition, in the case where the plurality of bellows 56 includes the plurality of 2 nd bellows 562, the lower end 562b is the lower end of the 2 nd bellows provided at the lowest position among the plurality of 2 nd bellows 562. In another embodiment, the lower end 562b of the 2 nd bellows 562 may be directly fixed to other members than the stage 62t, or may be indirectly fixed to the stage 62t via the other members. In this other member, the pin holder 52a is fixed by welding or the like in a vertically extending manner. A sealing member such as an O-ring may be provided between the other member and the lower end 562b of the 2 nd corrugated tube 562. The other component may have a flange shape.
As shown in fig. 2 and 3, in an embodiment, the inner diameter of the 1 st bellows 561 may be larger than the inner diameter of the 2 nd bellows 562. In an embodiment, the outer diameter of the 2 nd bellows 562 may be smaller than the outer diameter of the 1 st bellows 561.
The restricting mechanism 58 is configured to be able to restrict expansion and contraction in the vertical direction of the 1 st bellows 561. In one embodiment, limiting mechanism 58 may include a 1 st stopper 581 and a 2 nd stopper 582. The 1 st stopper 581 is provided to restrict the shrinkage in the vertical direction of the 1 st bellows 561. The 2 nd stopper 582 is provided to restrict elongation in the vertical direction of the 1 st bellows 561.
In one embodiment, the 1 st stopper 581 extends over or on the flange of the lower end 561b of the 1 st bellows 561 in such a manner as to limit the shrinkage of the 1 st bellows 561 in the vertical direction. In one example, the 1 st stopper 581 is a columnar member. The upper end of the 1 st stopper 581 is fixed to a flange of the upper end 561a of the 1 st bellows 561. The 1 st stopper 581 extends in the vertical direction in a region lateral to the 1 st bellows 561. The lower end of the 1 st stopper 581 is opposed to or abutted against the flange of the lower end 561b of the 1 st bellows 561. Further, the upper end of the 1 st stopper 581 may be fixed to the bottom 10b of the chamber 10. In addition, in order to smoothly move the lower end 561b of the 1 st bellows 561 horizontally with respect to the 1 st stopper 581, a ball bearing or a universal ball unit (ball transfer unit) may be provided at the lower end of the 1 st stopper 581.
In one embodiment, the 2 nd stopper 582 extends under or below the flange of the lower end 561b of the 1 st bellows 561 in a manner to limit elongation in the vertical direction of the 1 st bellows 561. In one example, the 2 nd stopper 582 is a member having an L-shaped cross-sectional shape. The upper end of the 2 nd stopper 582 is directly or indirectly fixed to the flange of the upper end 561a of the 1 st bellows 561. In the illustrated example, the upper end of the 2 nd stopper 582 is fixed to the flange of the upper end 561a of the 1 st bellows 561 via the member 72 and the bottom 10b of the chamber 10. The 2 nd stopper 582 extends in the vertical direction at a region lateral to the 1 st bellows 561 and extends in the horizontal direction below or under the flange of the lower end 561b of the 1 st bellows 561. In one example, the lower end of the 2 nd stopper 582 is opposite or in contact with the lower surface of the guide 78. For smooth horizontal movement of the lower end 561b of the 1 st bellows 561 or the guide 78 with respect to the 2 nd stopper 582, a ball bearing or a universal ball unit may be provided at the lower end of the 2 nd stopper 582.
In the substrate elevating mechanism 50 described above, the lower end 561b of the 1 st bellows 561 can be horizontally moved with respect to the upper end 561a as a fixed end. Therefore, the horizontal movement of the lift pins 52 in the plurality of bellows 56 can be allowed. In addition, in the substrate elevating mechanism 50, the expansion and contraction in the vertical direction of the 1 st bellows 561 can be restricted, so the expansion and contraction in the vertical direction of the bellows group including the plurality of bellows 56 is realized by the 2 nd bellows 562. Accordingly, the 2 nd bellows 562 can be selected regardless of the stroke length of each pitch (pitch) in the vertical direction of the 1 st bellows 561. Therefore, the length of the 2 nd bellows 562 can be shortened, and the entire length of the plurality of bellows 56 can be shortened.
Further, the overall length of the plurality of bellows 56 can be shortened, and thus the length of each of the plurality of shafts 68 can be shortened. As a result, the rigidity of the plurality of shafts 68 can be improved. Further, since the entire length of the bellows 56 can be shortened, the space occupied by one or more substrate elevating mechanisms 50 below the bottom 10b of the chamber 10 is reduced.
Further, each of the 2 nd bellows 562 is horizontally movable together with the lift pin 52 so that a difference in the amount of displacement in the horizontal direction does not occur between the upper end and the lower end thereof. Therefore, the relative horizontal movement of the lift pin 52 inside the 2 nd bellows 562 does not need to be considered. Therefore, the diameter of the 2 nd corrugated tube 562 can be reduced.
In one embodiment, as described above, the inner diameter of the 1 st bellows 561 is larger than the inner diameter of the 2 nd bellows 562. According to this embodiment, a larger horizontal movement of the lift pin 52 can be allowed.
In one embodiment, as described above, the outer diameter of the 2 nd bellows 562 is smaller than the outer diameter of the 1 st bellows 561. According to this embodiment, the material constituting the 2 nd corrugated tube 562 can be reduced.
While the various exemplary embodiments have been described above, the present invention is not limited to the exemplary embodiments described above, and various omissions, substitutions, and changes may be made. In addition, elements in different embodiments may be combined to form other embodiments.
For example, the substrate processing apparatus 1 may be an apparatus configured to be capable of performing other substrate processing. As such a substrate process, plasma etching can be exemplified. That is, the substrate processing apparatus 1 may be a plasma etching apparatus. In the case of the plasma etching apparatus, the substrate processing apparatus 1 may have a high-frequency power supply and a matching unit electrically connected to the mounting table. In this example, the gas shower head 14 forms an upper electrode of the capacitively coupled plasma etching apparatus. In this example, the gas showerhead 14 and the chamber 10 can be electrically grounded. In another example, the substrate processing apparatus 1 may be an inductively coupled plasma etching apparatus.
From the foregoing, it will be appreciated that various embodiments of the invention have been described herein for purposes of illustration, but that various modifications may be made without deviating from the scope and spirit of the invention. The various embodiments disclosed in the specification are, therefore, not to be taken in a limiting sense, and the true scope and spirit is indicated by the scope of the following claims.

Claims (14)

1. A substrate lifting mechanism for lifting a substrate relative to an upper surface of a mounting table provided in a chamber of a substrate processing apparatus, comprising:
a lift pin configured to be capable of supporting a substrate at a front end thereof;
a driving mechanism configured to support the lift pin so as to allow horizontal movement of the lift pin and to be capable of lifting the lift pin;
a plurality of bellows which are arranged in a vertical direction so as to surround the lift pin and seal a space around the lift pin, the plurality of bellows including a 1 st bellows and a 2 nd bellows, the 1 st bellows being provided at an uppermost position among the plurality of bellows, and having an upper end as a fixed end and a lower end horizontally movable together with the lift pin, the 2 nd bellows being provided below the 1 st bellows and being vertically expandable and contractible in conjunction with lifting of the lift pin; and
and a restricting mechanism configured to restrict expansion and contraction in a vertical direction of the 1 st bellows.
2. The substrate lifting mechanism of claim 1, wherein:
the limiting mechanism includes:
a 1 st stopper provided to restrict a reduction in a vertical direction of the 1 st bellows; and
a 2 nd stopper provided to restrict elongation of the 1 st bellows in a vertical direction.
3. The substrate lifting mechanism as recited in claim 2, wherein:
the lower end of the 1 st bellows comprises a flange,
the 1 st stopper extends above or over the flange in a manner that limits shrinkage of the 1 st bellows in the vertical direction,
the 2 nd stopper extends below or under the flange in such a manner as to limit elongation of the 1 st bellows in the vertical direction.
4. A substrate lifting mechanism according to any one of claims 1 to 3, wherein:
the inner diameter of the 1 st corrugated pipe is larger than the inner diameter of the 2 nd corrugated pipe.
5. The substrate lifting mechanism as recited in claim 4, wherein:
the outer diameter of the 2 nd corrugated pipe is smaller than that of the 1 st corrugated pipe.
6. The substrate lifting mechanism according to any one of claims 1 to 5, wherein:
a part of the lift pins extend through a through hole formed in the chamber and a through hole formed in the mounting table, and are horizontally movable in conjunction with thermal deformation of the mounting table,
the drive mechanism is fixed to the chamber,
the upper end of the 1 st bellows is fixed to the chamber so as to seal the through hole formed in the chamber.
7. The substrate lifting mechanism of claim 6, wherein:
further comprises a pin guide having a cylindrical shape extending below the mounting table and providing an inner hole connected to the through hole formed in the mounting table,
the pin guide is fixed to the stage,
a portion of the lift pin extends within the inner bore of the pin guide.
8. The substrate lifting mechanism according to any one of claims 1 to 7, wherein:
the lift pin includes a pin body and a columnar pin holder,
the pin body includes the front end, extends in a vertical direction,
the pin holder supports the pin body and extends downward from the pin body.
9. The substrate lifting mechanism according to any one of claims 1 to 8, wherein:
the driving mechanism includes:
a centering device for supporting the lifting pin in a manner allowing horizontal movement of the lifting pin;
a driving shaft extending in a vertical direction below the centering device; and
a driving device configured to be capable of lifting and lowering the driving shaft.
10. The substrate lifting mechanism of claim 9, wherein:
the centering device comprises:
a base provided above the drive shaft and supported by the drive shaft; and
a carrying table supported on the base in a horizontally movable manner,
the lower end of the lifting pin is fixed on the bearing table,
the lower end of the 2 nd corrugated pipe is fixed on the bearing table in a mode of sealing the lower end opening of the 2 nd corrugated pipe.
11. A substrate support, comprising:
a stage provided in a chamber of the substrate processing apparatus; and
the substrate lifting mechanism according to any one of claims 1 to 10, configured to be capable of lifting and lowering a substrate relative to an upper surface of the mounting table.
12. The substrate support of claim 11, wherein:
the device also comprises a heater arranged in the carrying table.
13. A substrate processing apparatus, comprising:
the substrate support of claim 11 or 12; and
a chamber that accommodates the stage of the substrate holder in an inner space thereof.
14. The substrate processing apparatus of claim 13, wherein:
the substrate processing apparatus is a film forming apparatus.
CN202010157227.2A 2019-03-18 2020-03-09 Substrate lifting mechanism, substrate supporter and substrate processing apparatus Active CN111710641B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2019049875A JP7198694B2 (en) 2019-03-18 2019-03-18 SUBSTRATE LIFT MECHANISM, SUBSTRATE SUPPORTER, AND SUBSTRATE PROCESSING APPARATUS
JP2019-049875 2019-03-18

Publications (2)

Publication Number Publication Date
CN111710641A CN111710641A (en) 2020-09-25
CN111710641B true CN111710641B (en) 2023-06-20

Family

ID=72536409

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010157227.2A Active CN111710641B (en) 2019-03-18 2020-03-09 Substrate lifting mechanism, substrate supporter and substrate processing apparatus

Country Status (4)

Country Link
JP (1) JP7198694B2 (en)
KR (1) KR102356931B1 (en)
CN (1) CN111710641B (en)
TW (1) TWI836026B (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220336258A1 (en) * 2021-04-16 2022-10-20 Applied Materials, Inc. Apparatus for controlling lift pin movement
CN113471125B (en) * 2021-06-15 2024-03-22 杭州大和热磁电子有限公司 Automatic opening and closing structure of corner leaning tray
CN114351122B (en) * 2021-12-14 2023-08-08 湖南红太阳光电科技有限公司 Carrier plate transmission lifting system for heterojunction CVD equipment
TWI831544B (en) * 2021-12-31 2024-02-01 南韓商細美事有限公司 Lift pin unit, substrate support unit and substrate treating apparatus comprising the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6120609A (en) * 1996-10-25 2000-09-19 Applied Materials, Inc. Self-aligning lift mechanism
JP2006080291A (en) * 2004-09-09 2006-03-23 Hitachi Kokusai Electric Inc Substrate processing apparatus
CN102084468A (en) * 2008-02-08 2011-06-01 朗姆研究公司 Adjustable gap capacitively coupled RF plasma reactor including lateral bellows and non-contact particle seal
JP2015072961A (en) * 2013-10-02 2015-04-16 株式会社日立ハイテクノロジーズ Plasma processing apparatus

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003174071A (en) * 2001-12-06 2003-06-20 Hitachi Kokusai Electric Inc System for processing substrate
JP4574987B2 (en) * 2002-01-10 2010-11-04 東京エレクトロン株式会社 Processing equipment
US20060156981A1 (en) * 2005-01-18 2006-07-20 Kyle Fondurulia Wafer support pin assembly
JP4836512B2 (en) * 2005-07-29 2011-12-14 東京エレクトロン株式会社 Substrate lifting apparatus and substrate processing apparatus
JP2008045575A (en) * 2006-08-10 2008-02-28 Hitachi Constr Mach Co Ltd Hydraulic drive mechanism for hydraulic shovel
JP4795899B2 (en) 2006-08-31 2011-10-19 東京エレクトロン株式会社 Substrate mounting mechanism and substrate delivery method
JP5561664B2 (en) * 2006-09-08 2014-07-30 株式会社 エスアンドデイ Lift pin mechanism
KR100843107B1 (en) * 2007-01-31 2008-07-03 주식회사 아이피에스 Vacuum processing apparatus
JP4951536B2 (en) * 2007-03-27 2012-06-13 東京エレクトロン株式会社 Substrate mounting table and substrate processing apparatus
KR100910750B1 (en) * 2007-09-21 2009-08-05 주식회사 에이디피엔지니어링 Lift pin module and device for manufacturing flat display device using the same
KR100843106B1 (en) * 2008-03-14 2008-07-03 주식회사 아이피에스 Vacuum processing apparatus
JP2010087473A (en) * 2008-07-31 2010-04-15 Canon Anelva Corp Substrate alignment apparatus and substrate processing apparatus
KR101117188B1 (en) * 2009-10-26 2012-03-09 주식회사 테스 Apparatus for processing a substrate

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6120609A (en) * 1996-10-25 2000-09-19 Applied Materials, Inc. Self-aligning lift mechanism
JP2006080291A (en) * 2004-09-09 2006-03-23 Hitachi Kokusai Electric Inc Substrate processing apparatus
CN102084468A (en) * 2008-02-08 2011-06-01 朗姆研究公司 Adjustable gap capacitively coupled RF plasma reactor including lateral bellows and non-contact particle seal
JP2015072961A (en) * 2013-10-02 2015-04-16 株式会社日立ハイテクノロジーズ Plasma processing apparatus

Also Published As

Publication number Publication date
JP2020155458A (en) 2020-09-24
TWI836026B (en) 2024-03-21
TW202045848A (en) 2020-12-16
JP7198694B2 (en) 2023-01-04
KR20200111102A (en) 2020-09-28
CN111710641A (en) 2020-09-25
KR102356931B1 (en) 2022-01-28

Similar Documents

Publication Publication Date Title
CN111710641B (en) Substrate lifting mechanism, substrate supporter and substrate processing apparatus
JP4951536B2 (en) Substrate mounting table and substrate processing apparatus
JP6650841B2 (en) Substrate lifting mechanism, substrate mounting table and substrate processing device
KR101800504B1 (en) Substrate loading apparatus and substrate processing apparatus
KR100993441B1 (en) Substrate loading mechanism, substrate transfer method, substrate processing apparatus and computer readable storage medium
JP4836512B2 (en) Substrate lifting apparatus and substrate processing apparatus
KR102640515B1 (en) Plasma processing apparatus
US20150086302A1 (en) Substrate processing apparatus and maintenance method thereof
KR102518712B1 (en) plasma processing device
KR100910750B1 (en) Lift pin module and device for manufacturing flat display device using the same
KR20120115146A (en) Loading unit and processing system
KR102389972B1 (en) Substrate processing apparatus
CN111033695A (en) Inner wall and substrate processing apparatus
JP4030302B2 (en) Vacuum processing equipment
US20070077354A1 (en) Thermal conditioning plate with gas gap leak
KR20120104862A (en) Processing chamber for substrate processing apparatus
KR20220056664A (en) lift pin assembly and bake unit with the assembly
KR20240013050A (en) Substrate support structure and substrate processing apparatus
JP2023012321A (en) Component pre-heating treatment method and substrate treatment apparatus
KR20220022096A (en) Vacuum processing apparatus and method for controlling vacuum processing apparatus
JP2022147234A (en) Substrate processing device and substrate lifting device
JP2022124520A (en) Plasma processing placement unit and plasma processing apparatus
KR102040246B1 (en) Apparatus and Method for treating substrate
CN112974180A (en) Reduced pressure drying apparatus and reduced pressure drying method
KR20090111585A (en) Apparatus for processing substrate and method for opening and closing process space inside of the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant