CN111696894A - 一种用于容纳消耗部件的前开式环形盒(forp) - Google Patents

一种用于容纳消耗部件的前开式环形盒(forp) Download PDF

Info

Publication number
CN111696894A
CN111696894A CN202010377480.9A CN202010377480A CN111696894A CN 111696894 A CN111696894 A CN 111696894A CN 202010377480 A CN202010377480 A CN 202010377480A CN 111696894 A CN111696894 A CN 111696894A
Authority
CN
China
Prior art keywords
consumable
forp
consumable components
components
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010377480.9A
Other languages
English (en)
Chinese (zh)
Inventor
达蒙·蒂龙·格内特
乔恩·麦克切斯尼
亚历克斯·帕特森
德里克·约翰·威特科维基
奥斯丁·恩戈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/920,090 external-priority patent/US20170115657A1/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN111696894A publication Critical patent/CN111696894A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • H01L21/67393Closed carriers characterised by atmosphere control characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67363Closed carriers specially adapted for containing substrates other than wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67366Closed carriers characterised by materials, roughness, coatings or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67383Closed carriers characterised by substrate supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67386Closed carriers characterised by the construction of the closed carrier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Auxiliary Devices For And Details Of Packaging Control (AREA)
CN202010377480.9A 2015-10-22 2016-10-24 一种用于容纳消耗部件的前开式环形盒(forp) Pending CN111696894A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US14/920,090 2015-10-22
US14/920,090 US20170115657A1 (en) 2015-10-22 2015-10-22 Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US15/048,960 US10062599B2 (en) 2015-10-22 2016-02-19 Automated replacement of consumable parts using interfacing chambers
US15/048,960 2016-02-19
CN201610932313.XA CN107068586B (zh) 2015-10-22 2016-10-24 使用连接的室自动更换消耗部件

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201610932313.XA Division CN107068586B (zh) 2015-10-22 2016-10-24 使用连接的室自动更换消耗部件

Publications (1)

Publication Number Publication Date
CN111696894A true CN111696894A (zh) 2020-09-22

Family

ID=58558940

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201610932313.XA Active CN107068586B (zh) 2015-10-22 2016-10-24 使用连接的室自动更换消耗部件
CN202010377480.9A Pending CN111696894A (zh) 2015-10-22 2016-10-24 一种用于容纳消耗部件的前开式环形盒(forp)

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201610932313.XA Active CN107068586B (zh) 2015-10-22 2016-10-24 使用连接的室自动更换消耗部件

Country Status (4)

Country Link
US (3) US10062599B2 (ko)
KR (2) KR102617052B1 (ko)
CN (2) CN107068586B (ko)
TW (2) TWI734705B (ko)

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
KR102295988B1 (ko) 2014-10-17 2021-09-01 어플라이드 머티어리얼스, 인코포레이티드 애디티브 제조 프로세스들을 이용한 복합 재료 특성들을 갖는 cmp 패드 구성
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10062599B2 (en) * 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
CN116110846A (zh) * 2016-01-26 2023-05-12 应用材料公司 晶片边缘环升降解决方案
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10204795B2 (en) 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
JP6192773B1 (ja) * 2016-06-08 2017-09-06 株式会社ソディック 金属表面改質装置
JP6635888B2 (ja) 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6812264B2 (ja) * 2017-02-16 2021-01-13 東京エレクトロン株式会社 真空処理装置、及びメンテナンス装置
JP7158133B2 (ja) * 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US10361099B2 (en) 2017-06-23 2019-07-23 Applied Materials, Inc. Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems
US10763081B2 (en) 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
TWI729319B (zh) 2017-10-27 2021-06-01 美商應用材料股份有限公司 具有空間分離的單個晶圓處理環境
JP7033441B2 (ja) * 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
US20190287835A1 (en) * 2018-02-01 2019-09-19 Yield Engineering Systems, Inc. Interchangeable Edge Rings For Stabilizing Wafer Placement And System Using Same
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US10347500B1 (en) 2018-06-04 2019-07-09 Applied Materials, Inc. Device fabrication via pulsed plasma
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US10651097B2 (en) * 2018-08-30 2020-05-12 Lam Research Corporation Using identifiers to map edge ring part numbers onto slot numbers
JP7299970B2 (ja) 2018-09-04 2023-06-28 アプライド マテリアルズ インコーポレイテッド 改良型研磨パッドのための配合物
JP7115942B2 (ja) * 2018-09-06 2022-08-09 東京エレクトロン株式会社 載置台、基板処理装置、エッジリング及びエッジリングの搬送方法
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
JP7357453B2 (ja) * 2019-03-07 2023-10-06 東京エレクトロン株式会社 基板処理システムおよび基板の搬送方法
US11279032B2 (en) 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
US20200365381A1 (en) 2019-05-14 2020-11-19 Mattson Technology, Inc. Systems And Methods For Transportation Of Replaceable Parts In a Vacuum Processing Apparatus
US10964584B2 (en) 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor
US11913777B2 (en) * 2019-06-11 2024-02-27 Applied Materials, Inc. Detector for process kit ring wear
US11358168B2 (en) * 2019-06-18 2022-06-14 Visera Technologies Company Limited Coating apparatus
US11626305B2 (en) 2019-06-25 2023-04-11 Applied Materials, Inc. Sensor-based correction of robot-held object
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
WO2021016115A1 (en) * 2019-07-19 2021-01-28 Applied Materials, Inc. Multi-object capable loadlock system
US11823937B2 (en) * 2019-08-19 2023-11-21 Applied Materials, Inc. Calibration of an aligner station of a processing system
CN112530829A (zh) * 2019-09-18 2021-03-19 中微半导体设备(上海)股份有限公司 基片处理系统、阀板组件及其基片处理系统的工作方法
JP7412124B2 (ja) * 2019-10-18 2024-01-12 東京エレクトロン株式会社 基板処理システム及びエッジリングを交換する方法
US11370114B2 (en) 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
JP7378318B2 (ja) * 2020-02-28 2023-11-13 東京エレクトロン株式会社 部品交換方法
US11759954B2 (en) 2020-03-17 2023-09-19 Applied Materials, Inc. Calibration of an electronics processing system
USD980176S1 (en) 2020-06-02 2023-03-07 Applied Materials, Inc. Substrate processing system carrier
USD954769S1 (en) 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
TW202232624A (zh) * 2020-10-26 2022-08-16 日商東京威力科創股份有限公司 處理系統及搬運方法
KR102649714B1 (ko) * 2020-10-27 2024-03-21 세메스 주식회사 기판 처리 장치 및 기판 반송 방법
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
KR102496544B1 (ko) * 2021-08-10 2023-02-07 권재헌 웨이퍼 안착 상태 감지기능을 구비하는 웨이퍼 버퍼
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
CN114000192B (zh) * 2021-10-29 2023-10-13 北京北方华创微电子装备有限公司 半导体工艺设备以及晶圆位置状态的监测方法
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
CN117145837B (zh) * 2023-10-30 2024-02-09 江苏菲尔特液压机械有限公司 一种液压挖掘机的液压附件快速更换装置

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001267254A (ja) * 2000-03-21 2001-09-28 Hitachi Kokusai Electric Inc 半導体製造装置
JP2002076089A (ja) * 2000-08-23 2002-03-15 Tokyo Electron Ltd 被処理体の処理システム
US20020168256A1 (en) * 2001-05-08 2002-11-14 Kabushiki Kaisha Shinkawa Wafer ring supplying and returning apparatus
CN1664987A (zh) * 2004-03-05 2005-09-07 东京毅力科创株式会社 基板处理装置、基板处理方法及程序
US20070051312A1 (en) * 2003-08-07 2007-03-08 Ofer Sneh Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
US20130183121A1 (en) * 2012-01-12 2013-07-18 Hitachi High-Technologies Corporation Vacuum processing apparatus
CN103367197A (zh) * 2012-03-29 2013-10-23 无锡华瑛微电子技术有限公司 晶圆表面处理系统
US20150170909A1 (en) * 2013-12-17 2015-06-18 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and non-transitory computer-readable recording medium

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5623838A (en) * 1979-08-01 1981-03-06 Shichifuku Shokuhin Kk Sealed and packaged food and its preparation
US4930634A (en) 1987-09-29 1990-06-05 Fluoroware, Inc. Carrier for flat panel displays
JPH04346247A (ja) * 1991-05-23 1992-12-02 Fujitsu Ltd 半導体製造装置及びウェハ搬送アーム及びウェハ載置台
JP3909608B2 (ja) 1994-09-30 2007-04-25 株式会社アルバック 真空処理装置
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5788082A (en) 1996-07-12 1998-08-04 Fluoroware, Inc. Wafer carrier
US6776289B1 (en) 1996-07-12 2004-08-17 Entegris, Inc. Wafer container with minimal contact
US6010008A (en) 1997-07-11 2000-01-04 Fluoroware, Inc. Transport module
US6267245B1 (en) 1998-07-10 2001-07-31 Fluoroware, Inc. Cushioned wafer container
US6092981A (en) 1999-03-11 2000-07-25 Applied Materials, Inc. Modular substrate cassette
JP2001179672A (ja) 1999-12-21 2001-07-03 Mitsubishi Electric Corp ロボットハンド
KR100745867B1 (ko) * 2000-08-23 2007-08-02 동경 엘렉트론 주식회사 수직열처리장치 및 피처리체를 운송하는 방법
KR20030003779A (ko) * 2001-07-02 2003-01-14 삼성전자 주식회사 반도체 장치 제조용 로봇 블레이드
JP4389424B2 (ja) 2001-12-25 2009-12-24 東京エレクトロン株式会社 被処理体の搬送機構及び処理システム
US7121414B2 (en) 2001-12-28 2006-10-17 Brooks Automation, Inc. Semiconductor cassette reducer
JP2004288727A (ja) 2003-03-19 2004-10-14 Seiko Epson Corp Cmp装置、cmp研磨方法、半導体装置及びその製造方法
US7682455B2 (en) 2003-07-11 2010-03-23 Tec-Sem Ag Device for storing and/or transporting plate-shaped substrates in the manufacture of electronic components
US20050205209A1 (en) 2004-03-18 2005-09-22 Aelan Mosden Replacing chamber components in a vacuum environment
JP2006120799A (ja) 2004-10-20 2006-05-11 Tokyo Electron Ltd 基板処理装置、基板載置台交換方法、及びプログラム
TWI310974B (en) 2005-07-15 2009-06-11 Fabworx Solutions Inc An end effecter
US7432201B2 (en) * 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
JP4884801B2 (ja) * 2005-10-06 2012-02-29 東京エレクトロン株式会社 処理システム
JP2007186757A (ja) * 2006-01-13 2007-07-26 Tokyo Electron Ltd 真空処理装置及び真空処理方法
US7717481B2 (en) 2007-01-11 2010-05-18 Applied Materials, Inc. High temperature robot end effector
KR20100031681A (ko) 2007-05-18 2010-03-24 브룩스 오토메이션 인코퍼레이티드 빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템
US8041450B2 (en) * 2007-10-04 2011-10-18 Asm Japan K.K. Position sensor system for substrate transfer robot
US9002514B2 (en) 2007-11-30 2015-04-07 Novellus Systems, Inc. Wafer position correction with a dual, side-by-side wafer transfer robot
KR20150023941A (ko) 2008-01-13 2015-03-05 엔테그리스, 아이엔씨. 큰 지름의 웨이퍼를 취급하는 장치 및 방법
US8185242B2 (en) 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
US8652260B2 (en) 2008-08-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for holding semiconductor wafers
JP2010153585A (ja) 2008-12-25 2010-07-08 Ebara Corp 基板保持具および基板保持方法
TWI346638B (en) 2008-12-26 2011-08-11 Gudeng Prec Industral Co Ltd A purging valve and a wafer container having the purging valve
JP5208800B2 (ja) 2009-02-17 2013-06-12 東京エレクトロン株式会社 基板処理システム及び基板搬送方法
JP5650935B2 (ja) 2009-08-07 2015-01-07 東京エレクトロン株式会社 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
JP5575507B2 (ja) 2010-03-02 2014-08-20 株式会社日立国際電気 基板処理装置、基板搬送方法、半導体装置の製造方法および基板処理装置のメンテナンス方法
JP5548163B2 (ja) 2010-09-14 2014-07-16 株式会社日立国際電気 基板搬送機構、基板処理装置および半導体装置の製造方法
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
JP6003011B2 (ja) 2011-03-31 2016-10-05 東京エレクトロン株式会社 基板処理装置
TWI494174B (zh) 2012-05-16 2015-08-01 Kern Energy Entpr Co Ltd 基板表面處理設備
US9425077B2 (en) 2013-03-15 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus with transportable edge ring for substrate transport
KR101595003B1 (ko) * 2014-03-05 2016-02-17 주식회사 에스에프에이 기판 이송 로봇
TWI674168B (zh) 2015-07-27 2019-10-11 美商應用材料股份有限公司 升降杆致動器、基板材支撐組件、及利用基板材支撐組件的方法
US9881820B2 (en) * 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10124492B2 (en) * 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001267254A (ja) * 2000-03-21 2001-09-28 Hitachi Kokusai Electric Inc 半導体製造装置
JP2002076089A (ja) * 2000-08-23 2002-03-15 Tokyo Electron Ltd 被処理体の処理システム
US20020168256A1 (en) * 2001-05-08 2002-11-14 Kabushiki Kaisha Shinkawa Wafer ring supplying and returning apparatus
US20070051312A1 (en) * 2003-08-07 2007-03-08 Ofer Sneh Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
CN1664987A (zh) * 2004-03-05 2005-09-07 东京毅力科创株式会社 基板处理装置、基板处理方法及程序
US20130183121A1 (en) * 2012-01-12 2013-07-18 Hitachi High-Technologies Corporation Vacuum processing apparatus
CN103367197A (zh) * 2012-03-29 2013-10-23 无锡华瑛微电子技术有限公司 晶圆表面处理系统
US20150170909A1 (en) * 2013-12-17 2015-06-18 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and non-transitory computer-readable recording medium

Also Published As

Publication number Publication date
US20170330786A1 (en) 2017-11-16
TW201725649A (zh) 2017-07-16
KR102617052B1 (ko) 2023-12-21
TW202205506A (zh) 2022-02-01
KR20170054249A (ko) 2017-05-17
US20170117172A1 (en) 2017-04-27
US10770339B2 (en) 2020-09-08
TWI811712B (zh) 2023-08-11
CN107068586B (zh) 2020-06-05
US10304717B2 (en) 2019-05-28
US20190252234A1 (en) 2019-08-15
KR20230175167A (ko) 2023-12-29
US10062599B2 (en) 2018-08-28
TWI734705B (zh) 2021-08-01
CN107068586A (zh) 2017-08-18

Similar Documents

Publication Publication Date Title
CN107039307B (zh) 用连接等离子体处理系统的端部执行器自动更换消耗部件
CN107068586B (zh) 使用连接的室自动更换消耗部件
JP7383665B2 (ja) 正面開口式リングポッド
TWI784924B (zh) 在原位上自半導體處理模組移除並更換可消耗的零件之系統
CN113811987A (zh) 自动化处理模块环定位及替换

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination