CN111607089A - 官能性聚氢倍半硅氧烷树脂组成物、产生其的方法及其用途 - Google Patents

官能性聚氢倍半硅氧烷树脂组成物、产生其的方法及其用途 Download PDF

Info

Publication number
CN111607089A
CN111607089A CN202010114667.XA CN202010114667A CN111607089A CN 111607089 A CN111607089 A CN 111607089A CN 202010114667 A CN202010114667 A CN 202010114667A CN 111607089 A CN111607089 A CN 111607089A
Authority
CN
China
Prior art keywords
polyhydrosilsesquioxane
functional
group
coating
carbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202010114667.XA
Other languages
English (en)
Other versions
CN111607089B (zh
Inventor
汤玛斯·葛达
阮亮堂
马可斯·劳卡南
基摩·卡瑞斯德
奥斯卡里·凯赫克宁
朱哈·连达拉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Bibo Co ltd
Original Assignee
Bibo Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Bibo Co ltd filed Critical Bibo Co ltd
Publication of CN111607089A publication Critical patent/CN111607089A/zh
Application granted granted Critical
Publication of CN111607089B publication Critical patent/CN111607089B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/045Polysiloxanes containing less than 25 silicon atoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/12Polysiloxanes containing silicon bound to hydrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/14Polysiloxanes containing silicon bound to oxygen-containing groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/20Polysiloxanes containing silicon bound to unsaturated aliphatic groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/22Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen
    • C08G77/24Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen halogen-containing groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • C08L83/06Polysiloxanes containing silicon bound to oxygen-containing groups
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0755Non-macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09FDISPLAYING; ADVERTISING; SIGNS; LABELS OR NAME-PLATES; SEALS
    • G09F9/00Indicating arrangements for variable information in which the information is built-up on a support by selection or combination of individual elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B1/00Conductors or conductive bodies characterised by the conductive materials; Selection of materials as conductors
    • H01B1/20Conductive material dispersed in non-conductive organic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Dispersion Chemistry (AREA)
  • Theoretical Computer Science (AREA)
  • Silicon Polymers (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本发明涉及半导体衬底上的用于在其上形成图案的含碳‑碳不饱和键、含卤素以及含溶解度增强子的涂层。可通过将半导体衬底涂布有含碳‑碳不饱和键、含卤素以及含溶解度增强子的聚氢倍半硅氧烷树脂溶液来产生本发明涂层。本文还提供一种用于利用特定波长下的光辐射来图案化用含碳‑碳不饱和键、含卤素以及含溶解度增强子的聚氢倍半硅氧烷涂布的衬底的方法,方法包括以下步骤:沿选定图案照射经涂布衬底以形成具有照射涂层的区域和具有未照射涂层的区域的经照射结构,以及选择性地显影经照射结构以去除大部分的未照射涂层以形成图案化衬底。

Description

官能性聚氢倍半硅氧烷树脂组成物、产生其的方法及其用途
技术领域
本发明涉及在使用官能化聚氢倍半硅氧烷树脂涂层组成物的衬底上进行图案化步骤的基于辐射的方法。
本发明进一步涉及含一或多种水解前驱体的溶液,所述溶液可作为官能化聚氢倍半硅氧烷沉积到可直接通过极高分辨率或通过应用遮蔽辐射进行图案化的树脂涂层且沉积到在图案化之前和之后由前驱体溶液形成的经涂布衬底和涂层。
背景技术
行业已通过通用曝光工具从436纳米(g线)、405纳米(h线)、365纳米(i线)、248纳米(KrF准分子)以及最后193纳米(ArF准分子)转变为限定波长(奥科罗扬武(Okoroanyanwu)2015,甘耐克(Gangnaik)等人2017,德西蒙(De Simone)等人2014)。
248纳米和193纳米波长下使用的抗蚀剂材料是化学放大型抗蚀剂(chemicallyamplified resist;CAR),所述化学放大型抗蚀剂的组成物及微结构已根据在衬底上印刷不断更精细特征的需要而演化。随着这一趋势的延续,λ=13.5纳米(92eV)的极紫外(extreme ultraviolet;EUV)波长是用于下一代光刻的主要候选者(德西蒙等人2017)。
近十年来,已在用于大批量生产集成电路的EUV光刻(EUV lithography;EUVL)研发中进行大量投资。提出各种纳米光刻技术来扩展可印刷在二维表面上的特征的缩小,所述纳米光刻技术包含电子束光刻(electron beam lithography;EBL)、柔性光刻、纳米印刷光刻(nanoimprint lithography;NIL)、光子束光刻(photon beam lithography;PBL)或扫描探针光刻。
EUVL的成功需要皆遇到某些益处及缺点的新的光致抗蚀剂材料。官能性光致抗蚀剂应提供RLS特征,亦即,解析度(resolution;R)、线边缘粗糙度(line-edge roughness;LER)以及敏感性(sensitivity;S),如希金斯(Higgins)等人2011所描述。
存在已开发以使用EUVL形成图案的多种不同材料方法。在一般水平上,这些材料可分类为三个不同类别:有机抗蚀剂、硅类抗蚀剂以及含金属抗蚀剂。
通常称作非CAR抗蚀剂的有机抗蚀剂可展现充足LER,但极大缺点为由于较差EUV吸收特征而需要高剂量。为增大抗蚀剂的敏感性,已应用CAR材料。另一方面,CAR材料受较差LER影响,所述较差LER主要由于CAR中的光子散粒噪声和光酸产生剂分布的统计影响。
为解决两个有机型抗蚀剂的挑战,已利用抗蚀剂材料中包含的辐射敏感组分制备调配物。具有13.5纳米EUV光子的高摩尔吸收率的元素是金属。因此,已存在对含金属抗蚀剂的不断增大的关注度,其中将金属添加到其它抗蚀剂材料中以改良敏感性,或金属已用作分子抗蚀剂、金属氧化物纳米颗粒、有机金属前驱体或用作金属有机构架。
这类抗蚀剂已显示高敏感性、分辨率且因此视为未来EUVL抗蚀剂的关键候选者。然而,其主要缺点是金属由于其可能破坏晶体管的功能而很大程度上不利于制造集成电路,且LER特征需要改良。此外,德西蒙等人及渡边(Watanabe)提及在EUV扫描仪中,含金属的抗蚀剂中的金属物种与原子H或基团(radical)H*相互作用形成极危害光学器件使用期限的金属氢化物(MxHy)。另外,高LER是金属类抗蚀剂和化学放大型抗蚀剂两者的问题(德西蒙等人2017)。
CAR的另一缺点是图案的低抗蚀刻性和不稳定性(格里格雷斯库(Grigorescu)和赫根(Hagen)2009)。因此,CAR需要用于转移图案到衬底的富含硅的中间层和富含碳的底层两者。基于金属和硅的无机树脂具有由于通过EUVL直接图案化无机中间层而简化光刻堆叠的益处。
氢倍半硅氧烷(Hydrogen silsesquioxane;HSQ)是广泛利用的负型电子束和EUVL抗蚀剂材料。由于其对于高密度图案,即小于10纳米间距结构的潜力,故已进行集中研究以发现最佳工艺。然而,其受极低敏感性影响,需要浓缩显影剂且已知在处理期间相对地不稳定而使得其工业采用受限,如(杨(Yang)等人2009)所描述。
存在可便于研发适用于EUVL的工艺的若干因素,例如显影剂温度、显影剂浓度以及显影剂组成物。尽管现有技术发展,仍在寻找提供RLS特征而无过度金属污染的官能性光致抗蚀剂。
发明内容
本发明的目标是提供新颖官能性聚氢倍半硅氧烷(polyhydrogensilsesquioxane)树脂涂层组成物。
本发明的另一个目标是提供产生新颖官能性氢倍半硅氧烷树脂的方法。
本发明的第三目标是提供用于利用光辐射来图案化用官能性聚氢倍半硅氧烷涂布的衬底的方法。
本发明的另一目标是提供包括适用于通过光辐射或电子束图案化的辐射敏感涂层的经涂布衬底。
本发明的另一目标是提供形成抗蚀剂膜的方法。
本发明的另一目标是提供产生半导体装置的方法。
作为其它目标,本发明涉及一种用于极紫外或电子束光刻的官能性聚氢倍半硅氧烷树脂组成物,包括至少50摩尔%的含Si-H的硅烷氧基重复单元和最多30摩尔%的具有不饱和烃基的硅烷氧基重复单元。
作为其它目标,本发明涉及一种产生官能性聚氢倍半硅氧烷树脂组成物的方法,所述官能性聚氢倍半硅氧烷组成物含有至少SiH部分和共价结合到Si的不饱和烃基。
作为其它目标,本发明涉及一种用于利用特定波长下的光辐射来图案化用含碳-碳不饱和键和/或含卤素键的聚氢倍半硅氧烷涂布的衬底的方法,所述方法包括以下步骤:沿选定图案照射经涂布衬底以形成具有照射涂层的区域和具有未照射涂层的区域的照射结构,以及部分地显影照射结构以去除大部分未照射涂层以形成图案化衬底。经涂布衬底大体包括用于图案形成的涂层,所述涂层包括具有硅-碳键和硅-氢和/或具有碳-碳不饱和键和/或含卤素键的硅-氧网络。
作为其它目标,本发明涉及一种形成抗蚀剂膜的方法,所述方法通过施加官能性聚氢倍半硅氧烷树脂组成物以在半导体衬底上形成抗蚀底层膜且烘烤所述官能性聚氢倍半硅氧烷树脂组成物。
作为其它目标,本发明涉及一种产生半导体装置的方法,包括:将组成物施加到半导体衬底上且烘烤组成物以形成一或多个抗蚀底层膜;将官能性聚氢倍半硅氧烷树脂组成物作为抗蚀剂施加到一或多个抗蚀底层膜上以形成抗蚀剂膜;使所述抗蚀剂膜暴露于光;在曝光后,显影所述抗蚀剂膜以形成抗蚀剂图案;使用所述抗蚀剂图案来蚀刻所述抗蚀底层膜;以及使用由此图案化的所述抗蚀剂膜和由此图案化的所述抗蚀底层膜来制造所述半导体衬底。
作为其它目标,本发明涉及一种产生半导体装置的方法,包括:在半导体衬底上形成有机底层膜;将用于形成抗蚀剂膜的官能性聚氢倍半硅氧烷树脂组成物施加到所述有机底层膜上且烘烤所述官能性聚氢倍半硅氧烷树脂组成物以形成抗蚀剂膜;使所述抗蚀剂膜暴露于光;在曝光后,显影所述抗蚀剂膜以形成抗蚀剂图案;使用所述抗蚀剂图案来蚀刻所述抗蚀底层膜;使用由此图案化的所述抗蚀底层膜来蚀刻所述有机底层膜;以及使用由此图案化的所述有机底层膜来制造所述半导体衬底。
作为另一个目标,本发明涉及一种用于利用特定波长下的光辐射来图案化用含碳-碳不饱和键和/或含卤素键的聚氢倍半硅氧烷涂布的衬底的方法,其中波长是13.5纳米或小于13.5纳米。
作为另一目标,本发明涉及一种包括辐射敏感涂层的经涂布衬底,所述辐射敏感涂层具有不超过约5微米的平均厚度和与沿涂层的任一点处的平均值的不超过约50%的厚度变化,所述涂层包括具有硅-碳键和硅-氢和/或具有碳-碳不饱和键和/或含卤素键的硅-氧网络。
本发明的另一目标涉及一种图案化衬底,包括具有表面和沿表面的选定区域处存在且沿表面的其它区域处不存在的第一涂层的衬底。一般来说,第一涂层包括具有硅-碳键和硅-氢和/或碳-碳不饱和键和/或含卤素键的硅-氧网络。替代地,第一涂层可溶于至少一些有机液体中,或第一涂层可溶于碱水溶液中。
作为一额外目标,本发明涉及一种前驱体溶液,包括有机液体和相较于硅-氧网络硅-碳键和硅-氢中的Si-H或Si-R的约0.001M到约1M碳-碳不饱和键,且前驱体溶液具有约0.5厘泊(cP)到约150厘泊的粘度。有机液体可具有至少10℃的闪点(flash point)和20℃下的小于约10千帕的蒸气压。
作为另一个目标,本发明涉及一种图案化衬底,包括具有表面和沿表面的选定区域处存在且沿表面的其它区域处不存在的第一涂层的衬底。一般来说,第一涂层包括具有硅-碳键和硅-氢和/或具有碳-碳不饱和键的硅-氧网络,且具有氧等离子体或灰化处理中的低于50纳米/分钟的蚀刻速率。
本发明的最后一个目标是能够通过共聚合限制或促进涂层对显影剂的溶解度的前驱体的方法来改变涂层的溶解度,所述涂层包括具有硅-碳键和硅-氢和/或具有碳-碳不饱和键和/或含卤素键的硅-氧网络。
常规HSQ树脂不含硅烷醇官能性。在我们的同在申请中的发明(FI专利申请案20185677)中,其显示相较于HSQ树脂,HSQ树脂中的硅烷醇部分的存在提高涂层的图案形成能力。因此,相较于到目前为止文献中所描述的聚氢倍半硅氧烷,含硅烷醇的聚氢倍半硅氧烷在EUV光刻中展现更高敏感性。
另外,本文提供聚氢倍半硅氧烷树脂,具有带硅-碳键和硅-氢以及碳-碳不饱和键、含卤素的键以及溶解度增强基团或其组合的网络。
将呈现,存在于膜中的树脂的硅烷醇基与通过EUV从SiH中产生的中间反应性硅物种反应,但这仅是一个可能解释。
另外,已发现添加官能化基团进一步提高聚氢倍半硅氧烷树脂的敏感性。添加含有官能团(例如不饱和键或卤素原子)的含硅前驱体进一步提高树脂的敏感性且允许更宽工艺界限或窗。
类似地,增强在显影剂水溶液中的溶解度的含有官能团的含硅前驱体可进一步将图案形成和化合物改良到更宽工艺界限。
这些溶液可用于在半导体衬底上产生浇筑含硅烷醇官能性有机-无机混合涂层以形成图案。
本发明还提供包括辐射敏感涂层的经涂布衬底,所述辐射敏感涂层包括具有官能性硅-碳键和硅-氢和/或具有硅烷醇键的硅-氧网络。
本发明进一步提供一种用于利用特定波长下的光辐射或电子束来图案化用官能性聚氢倍半硅氧烷涂布的衬底的方法,所述方法包括以下步骤:沿选定图案照射经涂布衬底以形成具有照射涂层的区域和具有未照射涂层的区域的照射结构,以及选择性地显影照射结构以去除大部分未照射涂层以形成图案化衬底。
另外,本发明提供一种用于利用特定波长下的光辐射来图案化用官能性聚氢倍半硅氧烷涂布的衬底的方法,其中所述波长是13.5纳米或小于13.5纳米。
又另外,本发明提供一种图案化衬底,包括具有表面和沿表面的选定区域处存在且沿表面的其它区域处不存在的第一涂层的衬底。一般来说,第一涂层包括具有硅-碳键和硅-氢和/或具有硅烷醇键的官能性聚氢倍半硅氧烷。替代地,第一涂层可溶于至少一些有机液体中,或第一涂层可溶于碱水溶液中。
更具体地说,本发明的特征在于独立权利要求中规定的特性化部分。
通过本发明获得大量优势。
本文中所述的本发明解决低于20纳米的特征的图案化的关键挑战。另外,所描述材料提供较高氧等离子体抗蚀刻性,使得有可能简化光刻堆叠。另外,令我们惊讶的是,包括具有与碳-碳不饱和键和/或含卤素键和/或溶解度增强基团组合地存在的硅-碳键和硅-氢的聚氢倍半硅氧烷树脂网络的涂层的用途准许使用行业标准2.38重量%TMAH(tetramethylammonium hydroxide)溶液来借由设计经照射涂层对显影剂的溶解度特征来显影图案。
因此,本发明溶液可用于将涂层浇筑在半导体衬底上以通过后续烘烤、辐射以及显影步骤形成图案。确切地说,本发明允许以工业上可行的方式控制树脂的微结构且解决现有技术的缺点。举例来说,可调节官能性聚氢倍半硅氧烷树脂组成物以赋予更高敏感性和更宽工艺窗,这对于应用于EUVL中的所获得高度敏感涂层是高度需要的。
本文中所述的本发明解决关键RLS挑战,且另外提供高氧等离子体抗蚀刻性,使得有可能简化光刻堆叠。
另外,包括具有硅-氧或具有硅-碳键和硅-氢和/或具有硅烷醇键的硅-氧-金属网络的官能性聚氢倍半硅氧烷的用途准许使用行业标准2.38重量%TMAH溶液来借由设计经照射涂层对显影剂的溶解度特征来显影图案。
本发明技术的其它特征和优势将依靠以下实施例的详细论述显现。
附图说明
图1以示意图方式绘示三层光刻堆叠的组合件的侧视图。
图2以示意图方式绘示四层光刻堆叠的组合件的侧视图。
图3绘示利用根据一实施例的含碳-碳双键的聚氢倍半硅氧烷树脂进行图案化的侧视图。
图4示意性地绘示一实施例的含碳-碳双键的聚氢倍半硅氧烷的EUV交联机制。
图5绘示在a)比较例1、b)实例1以及c)实例2中获得的电子束处理结果的SEM图像。
图6绘示在a)比较例1、b)实例2以及c)实例3中获得的电子束处理结果的SEM图像。
图7绘示在a)比较例1和b)实例4中获得的电子束处理结果的SEM图像。
图8绘示在a)实例5和b)比较例2中获得的电子束处理结果的SEM图像。
图9是绘示通过使用a)比较例1、b)实例6以及c)实例7中的材料的EUVL获得的22纳米半间距图案化线的电子束结果的SEM图像。
图10绘示a)比较例1、b)实例8以及c)实例9中获得的电子束处理结果的SEM图像。
图11是绘示通过使用a)比较例1、b)实例10以及c)实例11中的材料的EUVL获得的22纳米半间距图案化线的电子束结果的SEM图像。
图12是绘示通过使用a)比较例1、b)实例10以及c)实例13中的材料的EUVL获得的22纳米半间距图案化线的电子束结果的SEM图像。
附图标号说明
10:衬底表面;
12:有机底层;
14:无机中间层;
16:光致抗蚀剂;
18:有机底部抗反射层;
30:照射;
20:衬底/表面;
22:有机涂层/薄可图案化膜/底层;
24:聚氢倍半硅氧烷树脂/组成物/抗蚀剂;
26:曝光方法。
具体实施方式
除非本文中另外说明或根据上下文清楚,否则本文中提到的任何百分比表现为基于相应组成物的总重量的重量%。
除非另外说明,否则本文中已以实验方式测量或测定的特性已在室温下测量或测定。除非另外指明,否则室温是25℃。
也必须指出,除非另外说明,否则如说明书和所附权利要求书中所用,单数形式“一(a)”、“一(an)”以及“所述”包含多个指示物。
如本文所用,术语“约”是指±5%规定值的值。
如本文所用,术语“约”是指实际给定值,且也是指将由本领域的一般技术人员合理地推断的这类给定值的近似值,包含由于这类给定值的实验和/或测量情况所引起的近似值。
除非另外说明,否则术语“分子量”或“平均分子量”是指重量平均分子量(也简称为“Mw”)。
本发明的实施例涉及一种制造官能性聚氢倍半硅氧烷树脂溶液的方法,其中可水解硅前驱体单独或与合适的其它含硅前驱体一起经历受控的水解/缩合反应。
如根据下文将显现,本发明技术在半导体衬底上提供含碳-碳不饱和键、含卤素以及含溶解度增强子的涂层以形成图案。可通过将半导体衬底涂布有含碳-碳不饱和键、含卤素以及含溶解度增强子的聚氢倍半硅氧烷树脂溶液来产生本发明涂层。
同样更详细地描述包括用于利用特定波长下的光辐射来图案化用含碳-碳不饱和键、含卤素以及含溶解度增强子的聚氢倍半硅氧烷涂布的衬底的方法的实施例。通常,方法的实施例包括以下步骤:沿选定图案照射经涂布衬底以形成具有照射涂层的区域和具有未照射涂层的区域的照射结构,以及选择性地显影照射结构以去除大部分未照射涂层以形成图案化衬底。
本发明材料可表征为“官能性聚氢倍半硅氧烷树脂”。这类材料含有由有机化合物以及无机化合物衍生的基团,如下文将解释。另外,本发明材料含有硅烷醇基(silanolgroup),即展现连接Si-O-H的基团,以及通常沿其主链(确切地说沿其主要硅氧烷链)的展现与Si的连接的其它官能团。
术语“官能性”用于例如指示新颖聚氢倍半硅氧烷聚合物展现“官能性”,即能够反应的基团。这类官能团将有助于树脂和/或由树脂制备的涂层的特性。
更具体地说,与聚氢倍半硅氧烷树脂有关的本发明中的官能团涉及连接到含有碳-碳不饱和键的树脂中的硅原子的烃基,当所述碳-碳不饱和键即使以较小量存在时,也可极大地改良衬底上的图案形成。
也同与聚氢倍半硅氧烷树脂有关的本发明的官能团有关的本发明的实施例涉及连接到含有卤素原子的树脂中的硅原子的烃基,当所述卤素原子即使以较小量存在时,也通过提高树脂的吸收率来进一步改良衬底上的图案形成。
更具体地说,与聚氢倍半硅氧烷树脂有关的本发明中的官能团涉及连接到含有溶解度增强基团的树脂中的硅原子的烃基,当所述溶解度增强基团即使以较小量存在时,也可通过产生非曝光区域对于显影剂的较佳溶解来极大地改良衬底上的图案形成。
树脂或涂层的硅含量通常是至少30重量%,确切地说35重量%或大于35重量%,且可甚至达到约52重量%的值。
一般来说,聚氢倍半硅氧烷树脂主链的每一重复单元存在约70%到99%Si-H基团。在一实施例中,通常,聚氢倍半硅氧烷树脂主链的每一单元存在约90%到98%Si-H基团。
当结合任选地存在于本发明组成物中的组分使用时,术语“潜伏”(latent)是指在例如通过光处理组成物或树脂期间的活化特性。因此,“潜伏”催化剂是例如当树脂或组成物暴露于光时,确切地说通过光活化的物质。类似地,组成物中的潜伏酸和潜伏碱可在暴露于光时活化。
在一实施例中,本发明材料经固化而无需光催化剂。因此,在一具体实施例中,在不存在光起始剂的情况下进行图案化。
本发明的实施例还涉及使用官能性聚氢倍半硅氧烷溶液来在半导体衬底上浇筑涂层以通过后续烘烤、照射以及显影步骤形成图案。确切地说,本发明涉及以工业上可行的方式控制树脂的微结构且解决现有技术的缺点的能力。举例来说,本发明涉及控制聚氢倍半硅氧烷树脂中的碳-碳不饱和键的量的能力,这对于获得应用于EUVL中的高度敏感涂层是高度需要的。
根据实施例,本发明技术涉及一种适用于在衬底上形成照射可固化硅氧烷层的组成物,所述组成物包括:含SiO部分、沿聚合物分布的多个反应性位点以及第一SiH部分的硅氧烷聚合物;和中间芳族和非芳族部分;含有不饱和键的第三有机硅部分;含有卤素基团的第四有机硅部分;以及含有增强对显影剂的溶解度的官能基的第五有机硅部分,其中聚合物具有500克/摩尔到50000克/摩尔的重量平均分子量,且组成物优选地更包括酸和/或碱以及溶剂。
根据优选实施例,本发明涉及一种适用于产生涂层调配物的组成物,所述涂层调配物可浇筑在衬底上,且其中可通过照射可图案化膜来图案化衬底上的涂层且其中涂层由通式(I)表示。
Figure BDA0002391110350000091
在式I中,标志A、B、C、D以及E各自表示独立地选自1到1000的整数,Z代表官能基且X代表卤素原子。
此外,在式I中,R1到R10各自独立地代表氢或烃基,确切地说,R1代表氢;R6代表含不饱和部分的烃基;且R2到R5以及R7到R10各自独立地代表氢或烃基。在实施例中,R1可代表氢;R6代表含不饱和部分的烃基;且R2到R5以及R7到R10各自代表烃基。
在本上下文中,术语“不饱和部分”代表确切地说在碳原子之间展现双键或三键的结构。这类键被称作“不饱和键”。不饱和部分可能含有一个或几个不饱和键。不饱和键可以是共轭或非共轭的。
通常,在一实施例中,不饱和部分含有至少一个烯系不饱和键。不饱和部分的实例包含含双键或三键的基团或结构,例如亚烯基、芳基、芳烷基、卤化芳基、卤化芳烷基、烯基、烷氧基芳基、酰氧基芳基或其组合。当然,各自还可含有取代基,通常选自以下群组:卤化物、烷氧基、羟基、硫醇基、酯基、侧氧基(oxo group)、酮基、羧酸基、胺以及酰胺。
R1到R10中的每一个也可代表或包含亚烷基、亚环烷基、卤化烷基及亚烷基以及烷氧基烷基。
不饱和部分的具体实例包含乙烯基、乙烯基醚、丙烯酸酯、烷基丙烯酸酯(alkacrylate)、烯丙基、降冰片烯或其组合。
此外,下文检测不饱和部分以及各种前驱体。
“烷氧基”和“烷基”、“亚烷基”以及“亚烯基”是由包括1个到12个碳原子,通常1个到10个碳原子的烷烃衍生的直链或分支链基团。在本发明技术的实施例中,烷氧基和烷基优选地是直链或分支链基团,确切地说,低碳烷氧基和低碳烷基。这类基团含有1个到6个,确切地说,1个到4个碳原子。
“亚环烷基”包括至少3个,优选地至少4个,且确切地说,至少5个碳原子且至多20个碳原子环。
“芳基”带有官能基或由芳环衍生的取代基的常规含义,通常芳族烃,例如苯基和萘基(naphthyl)或蒽基(antracenyl)。芳基通常含有可稠合或部分地稠合的1个到5个芳环。
上述组成物通过水解以下化合物获得:具有附接到硅上的至少一个可水解基团的第一单体含氢硅化合物(“前驱体A”)以及具有至少一个烃基和附接到化合物的硅原子上的至少一个可水解基团的任选地第二单体硅化合物(即“前驱体B”),
具有含不饱和键的至少一个官能基和附接到化合物的硅原子上的至少一个可水解基团的第三单体硅化合物(即“前驱体C”),
具有至少一个可水解基团和至少一个含卤素基团的任选地第四单体硅化合物(即“前驱体D”),
具有至少一个官能基和附接到化合物的硅原子上以形成硅氧烷材料的至少一个可水解基团的任选地第五单体硅化合物(即“前驱体E”),其中官能基增强对显影剂的溶解,且
在合适的溶剂系统中,将硅氧烷材料调配成组成物,确切地说,稳定的组成物。
用于本发明的前驱体的比率可变化。可以50摩尔%到100摩尔%使用前驱体A,可以0摩尔%到20摩尔%使用前驱体B,可以0.1摩尔%到20摩尔%,通常1摩尔%到10摩尔%使用前驱体C,可以0摩尔%到20摩尔%使用前驱体D,且可以0摩尔%到10摩尔%使用前驱体E。可通过在相同反应容器中或单独地在特定部分或各前驱体中独立地进行水解和缩合来获得硅氧烷组成物。
在一实施例中,前驱体A与前驱体B的摩尔比是99:1到85:15。
本发明特别适合产生包括通过水解具有通式II的第一硅化合物获得的共聚(有机硅氧烷)的组成物。
R1 a-Si-R2 b (II)
在通式II中,a是1或2的整数,而b是2或3的整数。R1表示氢原子且R2表示可独立地选自羟基、烷氧基、酰氧基以及卤素的可水解基团。在“卤素”的含义中,可水解基团中的每一个优选且独立地代表氯、碘、氟或溴。在“烷氧基”的含义中,可水解基团中的每一个优选且独立地代表甲氧基、乙氧基、正丙氧基、异丙氧基、丁氧基、仲丁氧基、叔丁氧基。在“酰氧基”(acyloxy)的含义中,可水解基团中的每一个优选且独立地代表乙酰氧基、丙烯酰氧基或甲基丙烯酰氧基。这类前驱体的具体实例是三乙氧基硅烷(triethoxysilane;HTEOS)、三甲氧基硅烷(trimethoxysilane;HTMOS)、二乙氧基硅烷以及三氯硅烷。在一些情况下,R2也可以是有机基团,例如甲基、乙基、丙基、乙烯基。这类前驱体的具体实例包含甲基二甲氧基硅烷、甲基二乙氧基硅烷、甲基二氯硅烷、乙烯基二甲氧基硅烷、乙烯基二乙氧基硅烷以及乙烯基二氯硅烷。
本发明还涉及包括共聚(有机硅氧烷)的组成物,所述共聚(有机硅氧烷)通过水解具有通式II的第一硅化合物以及具有通式III的前驱体获得。
R3 c-SiR21 n-R4 d (III)
其中R3和R4可独立地选自烷基、芳基、芳烷基、卤化烷基、卤化芳基、卤化芳烷基、烯基、具有环氧基的有机基团、丙烯酰基、甲基丙烯酰基、巯基、烷氧基芳基、酰氧基芳基、异氰尿酸酯基、羟基、环氨基或氰基,或其组合。R21可以是烷氧基、酰氧基或卤素基团。c是0到1的整数,且n是2到4的整数,且d是0到2的整数,其中c+n+d的总值可能未超过4。
本发明进一步涉及包括共聚(有机硅氧烷)的组成物,所述共聚(有机硅氧烷)通过水解具有通式II的第一硅化合物以及具有通式IV的前驱体获得。
R5 e-SiR21 n-R6 f (IV)
其中R5独立地选自烷基、芳基、芳烷基、卤化烷基、卤化芳基、卤化芳烷基、烯基、具有环氧基的有机基团、巯基、烷氧基芳基、酰氧基芳基、羟基、环氨基或氰基,或其组合,R6是含有存在于以下基团中的双键或三键的官能基:烷基、芳基、芳烷基、卤化烷基、卤化芳基、卤化芳烷基、烯基、烷氧基烷基、烷氧基芳基、酰氧基芳基或其组合,R21是烷氧基、酰氧基或卤素基团,e是0到1的整数,n是1到3的整数,且f是0到2的整数,其中e+n+f的总值是4或小于4。
本发明最后涉及包括共聚(有机硅氧烷)的组成物,所述共聚(有机硅氧烷)通过水解具有通式II的第一硅化合物以及具有通式V的前驱体获得。
R7 g-SiR21 n-R8 h-X (V)
其中X是卤素基团,R7独立地选自烷基、芳基、芳烷基、卤化烷基、卤化芳基、卤化芳烷基、烯基、具有环氧基的有机基团、巯基、烷氧基芳基、酰氧基芳基、羟基、环氨基或氰基,或其组合,R8是共价结合到X和Si两者的间隔基团(spacer group)且可独立地选自由以下衍生的二价基团:烷基、芳基、芳烷基、卤化烷基、卤化芳基、卤化芳烷基、烯基、烷氧基芳基、酰氧基芳基或其组合,R21是烷氧基、酰氧基或卤素基团,g是0到1的整数,n是1到3的整数,且h是0到1的整数,且其中g+n+h的总值是4或小于4。在式(V)的结构中,h表示间隔基团R8可涵盖的值且必须等于或大于1。
本发明最后涉及包括共聚(有机硅氧烷)的组成物,所述共聚(有机硅氧烷)通过水解具有通式II的第一硅化合物以及具有通式VI的前驱体获得。
R9 i-SiR21 n-R10 j-Zy (VI)
其中Zy是选自以下的基团:羟基、羧酸、巯基、胺或其盐或促进在显影剂水溶液中的溶解度的四级铵盐,且R9独立地选自烷基、芳基、芳烷基、卤化烷基、卤化芳基、卤化芳烷基、烯基、具有环氧基的有机基团、巯基、烷氧基芳基、酰氧基芳基、羟基、环氨基或氰基,或其组合,R10是共价结合到Zy和Si两者的间隔基团且可独立地选自由以下衍生的二价基团:烷基、芳基、芳烷基、卤化烷基、卤化芳基、卤化芳烷基、烯基、烷氧基芳基、酰氧基芳基或其组合,R21是烷氧基、酰氧基或卤素基团,i是0到1的整数,n是1到3的整数,且j是0到2的整数,且其中i+n+j的总值是4或小于4。在式(VI)的结构中,j表示间隔基团R10可涵盖的值且必须等于或大于1。
前驱体(III)的具体实例包含(但不限于)四甲氧基硅烷、四氯硅烷、四乙酰氧基硅烷、四乙氧基硅烷(tetraethoxysilane)、四-正丙氧基硅烷、四异丙氧基硅烷、四-正丁氧基硅烷、甲基三甲氧基硅烷、甲基三乙氧基硅烷、甲基三氯硅烷、甲基三乙酰氧基硅烷、甲基三丙氧基硅烷、甲基三丁氧基硅烷、甲基三苯氧基硅烷、甲基三苯甲氧基硅烷、乙基三甲氧基硅烷、乙基三乙氧基硅烷、苯基三甲氧基硅烷、苯基三氯硅烷、苯基三乙酰氧基硅烷、苯基三乙氧基硅烷、γ-巯基丙基三甲氧基硅烷、γ-巯基丙基三乙氧基硅烷、β-氰基乙基三乙氧基硅烷、二甲基二甲氧基硅烷、苯基甲基二甲氧基硅烷、二甲基二乙氧基硅烷、二丙基二乙氧基硅烷、二苯基二甲氧基硅烷、苯基甲基二乙氧基硅烷、二甲基二乙酰氧基硅烷、γ-巯基丙基甲基二甲氧基硅烷、γ-巯基甲基二乙氧基硅烷、缩水甘油氧基甲基三甲氧基硅烷(glycidoxymethyltrimethoxysilane)、缩水甘油氧基甲基三乙氧基硅烷、α-缩水甘油氧基乙基三甲氧基硅烷、α-缩水甘油氧基乙基三乙氧基硅烷、β-缩水甘油氧基乙基三甲氧基硅烷、β-缩水甘油氧基乙基三乙氧基硅烷、α-缩水甘油氧基丙基三甲氧基硅烷、α-缩水甘油氧基丙基三乙氧基硅烷、β-缩水甘油氧基丙基三甲氧基硅烷、β-缩水甘油氧基丙基三乙氧基硅烷、γ-缩水甘油氧基丙基三甲氧基硅烷、γ-缩水甘油氧基丙基三乙氧基硅烷、γ-缩水甘油氧基丙基三丙氧基硅烷、γ-缩水甘油氧基丙基三丁氧基硅烷、γ-缩水甘油氧基丙基三苯氧基硅烷、α-缩水甘油氧基丁基三甲氧基硅烷、α-缩水甘油氧基丁基三乙氧基硅烷、β-缩水甘油氧基丁基三乙氧基硅烷、γ-缩水甘油氧基丁基三甲氧基硅烷、γ-缩水甘油氧基丁基三乙氧基硅烷、δ-缩水甘油氧基丁基三甲氧基硅烷、δ-缩水甘油氧基丁基三乙氧基硅烷、(3,4-环氧基环己基)甲基三甲氧基硅烷、(3,4-环氧基环己基)甲基三乙氧基硅烷、β-(3,4-环氧基环己基)乙基三甲氧基硅烷、β-(3,4-环氧基环己基)乙基三乙氧基硅烷、β-(3,4-环氧基环己基)乙基三丙氧基硅烷、β-(3,4-环氧基环己基)乙基三丁氧基硅烷、β-(3,4-环氧基环己基)乙基三苯氧基硅烷、γ-(3,4-环氧基环己基)丙基三甲氧基硅烷、γ-(3,4-环氧基环己基)丙基三乙氧基硅烷、δ-(3,4-环氧基环己基)丁基三甲氧基硅烷、δ-(3,4-环氧基环己基)丁基三乙氧基硅烷、缩水甘油氧基甲基甲基二甲氧基硅烷、缩水甘油氧基甲基甲基二乙氧基硅烷、α-缩水甘油氧基乙基甲基二甲氧基硅烷、α-缩水甘油氧基乙基甲基二乙氧基硅烷、β-缩水甘油氧基乙基甲基二甲氧基硅烷、β-缩水甘油氧基乙基乙基二甲氧基硅烷、α-缩水甘油氧基丙基甲基二甲氧基硅烷、α-缩水甘油氧基丙基甲基二乙氧基硅烷、β-缩水甘油氧基丙基甲基二甲氧基硅烷、β-缩水甘油氧基丙基乙基二甲氧基硅烷、γ-缩水甘油氧基丙基甲基二甲氧基硅烷、γ-缩水甘油氧基丙基甲基二乙氧基硅烷、γ-缩水甘油氧基丙基甲基二丙氧基硅烷、γ-缩水甘油氧基丙基甲基二丁氧基硅烷、γ-缩水甘油氧基丙基甲基二苯氧基硅烷、γ-缩水甘油氧基丙基乙基二甲氧基硅烷、γ-缩水甘油氧基丙基乙基二乙氧基硅烷、γ-缩水甘油氧基丙基乙烯基二甲氧基硅烷、γ-缩水甘油氧基丙基乙烯基二乙氧基硅烷以及苯基磺酰基胺基丙基三乙氧基硅烷(phenylsulfonylaminopropyltriethoxysilane)。
前驱体(IV)的具体实例是乙烯基三甲氧基硅烷、乙烯基三氯硅烷、乙烯基三乙酰氧基硅烷、乙烯基三乙氧基硅烷、甲基乙烯基二甲氧基硅烷、甲基乙烯基二乙氧基硅烷、二甲基乙烯基甲氧基硅烷、烯丙基三甲氧基硅烷、烯丙基三氯硅烷、烯丙基三乙酰氧基硅烷、烯丙基三乙氧基硅烷、烯丙基甲基二甲氧基硅烷、烯丙基甲基二乙氧基硅烷、3-丁烯基三甲氧基硅烷、苯乙烯基乙基三乙氧基硅烷、苯乙烯基乙基三甲氧基硅烷、γ-甲基丙烯酰氧基丙基三甲氧基硅烷、γ-甲基丙烯酰氧基丙基甲基二甲氧基硅烷、γ-甲基丙烯酰氧基丙基甲基二乙氧基硅烷、(甲基丙烯酰氧基甲基)甲基二乙氧基硅烷、(甲基丙烯酰氧基甲基)甲基二甲氧基硅烷、甲基丙烯酰氧基甲基三乙氧基硅烷、甲基丙烯酰氧基甲基三甲氧基硅烷、甲基丙烯酰氧基丙基三氯硅烷、甲基丙烯酰氧基丙基三乙氧基硅烷、甲基丙烯酰氧基丙基三异丙氧基硅烷、3-丙烯酰胺基丙基三甲氧基硅烷(3-acrylamidopropyltrimethoxysilane)、丙烯酰氧基甲基三甲氧基硅烷、3-丙烯酰氧基丙基三甲氧基硅烷、3-丙烯酰氧基丙基甲基二甲氧基硅烷、3-丙烯酰氧基丙基甲基二乙氧基硅烷、3-丙烯酰氧基丙基二甲基甲氧基硅烷、3-丙烯酰氧基丙基二甲基乙氧基硅烷、丙烯酰胺基丙基三乙氧基硅烷、丙烯酰胺基丙基三氯硅烷、丙烯酰氧基甲基(苯基乙基)三甲氧基硅烷、甲基丙烯酰胺基丙基三乙氧基硅烷、甲基丙烯酰胺基丙基三氯硅烷、[2-(3-环己烯基)乙基]三甲氧基硅烷、[2-(3-环己烯基)乙基]三乙氧基硅烷、[2-(3-环己烯基)乙基]三氯硅烷、[2-(3-环己烯基)乙基]甲基二甲氧基硅烷、[2-(3-环己烯基)乙基]甲基二氯硅烷、(5-双环[2.2.1]庚-2-烯基)三乙氧基硅烷、(5-双环[2.2.1]庚-2-烯基)三甲氧基硅烷、(5-双环[2.2.1]庚-2-烯基)甲基二乙氧基硅烷、(5-双环[2.2.1]庚-2-烯基)乙基二乙氧基硅烷、(5-双环[2.2.1]庚-2-烯基)二甲基甲氧基硅烷、(5-双环[2.2.1]庚-2-烯基)三氯硅烷、(5-双环[2.2.1]庚-2-烯基)甲基二氯硅烷、(5-双环[2.2.1]庚-2-烯基)二甲基氯硅烷。
前驱体(V)的具体实例是氯甲基三甲氧基硅烷、氯甲基甲基二氯硅烷、氯甲基甲基二甲氧基硅烷、氯甲基三氯硅烷、氯甲基三乙氧基硅烷、2-氯乙基甲基二甲氧基硅烷、2-氯乙基甲基二氯硅烷、2-氯乙基三甲氧基硅烷、2-氯乙基三氯硅烷、2-氯乙基甲基二甲氧基硅烷、2-氯乙基甲基二氯硅烷、3-氯丙基三甲氧基硅烷、3-氯丙基三乙氧基硅烷、3-氯丙基三乙酰氧基硅烷、氯甲基三甲氧基硅烷、氯甲基三乙氧基硅烷、3-氯丙基甲基二甲氧基硅烷、3-氯丙基甲基二乙氧基硅烷、双(氯甲基)二氯硅烷、双(氯甲基)甲基氯硅烷、双(氯甲基)二甲氧基硅烷、双(氯甲基)甲基甲氧基硅烷、双(氯甲基)二乙氧基硅烷、双(氯甲基)甲基乙氧基硅烷、双(3-氯丙基)二氯硅烷、氯苯基甲基二甲氧基硅烷、氯苯基三甲氧基硅烷、氯苯基三乙氧基硅烷、氯苯基三氯硅烷、((氯甲基)苯基乙基)甲基二甲氧基硅烷、((氯甲基)苯基乙基)三甲氧基硅烷以及其中氯基被等效溴或碘化合物置换的类似基团。除上述之外的具体实例:4-溴丁基三甲氧基硅烷、4-溴丁基三氯硅烷、2-溴乙基三甲氧基硅烷、5-溴戊基三甲氧基硅烷、溴苯基三甲氧基硅烷。前驱体(V)的实例还包含直链、分支链、环状以及芳族和烷基芳烃化合物,其中多个氢原子被卤素原子置换。这些前驱体的具体实例包含三氟丙基三甲氧基硅烷、三氟丙基甲基二甲氧基硅烷、三氟丙基三乙氧基硅烷、九氟己基三甲氧基硅烷(nonafluorohexyltrimethoxysilane)、九氟己基甲基二甲氧基硅烷、1,2-二溴乙基三甲氧基硅烷、1,2-二溴乙基三氯硅烷、五氟苯基三甲氧基硅烷、五氟苯基三乙氧基硅烷、五氟苯基三氯硅烷、双(五氟苯基)二甲氧基硅烷、4-溴-3,3,4,4-四氟丁基三甲氧基硅烷。
前驱体(VI)的具体实例是3,3,3-三氟丙基三甲氧基硅烷、4-乙酰氧基苯基乙基三甲氧基硅烷、4-乙酰氧基苯基乙基三乙氧基硅烷、4-(乙酰氧基苯基乙基)甲基二氯硅烷、4-(乙酰氧基苯基乙基)甲基二甲氧基硅烷、4-(乙酰氧基苯基乙基)甲基二乙氧基硅烷、2-(甲氧羰基)乙基三甲氧基硅烷(2-(carbomethoxy)ethyltrimethoxysilane)、2-(甲氧羰基)乙基甲基二甲氧基硅烷、2-(甲氧羰基)乙基三氯硅烷、2-(甲氧羰基)乙基甲基二氯硅烷、三乙氧基硅烷基丙基氨基甲酸酯(triethoxysilylpropylcarbamate)、三乙氧基硅烷基丙基顺丁烯二酸(triethoxysilylpropylmaleamic acid)、N-(3-三乙氧基硅烷基丙基)-4-羟基丁酰胺(N-(3-triethoxysilylpropyl)-4-hydroxybutyramide)、N-(3-三乙氧基硅烷基丙基)葡糖酰胺(N-(3-triethoxysilylpropyl)gluconamide)、(3-三乙氧基硅烷基)丙基丁二酸酐((3-triethoxysilyl)propylsuccinic anhydride)、脲基丙基三乙氧基硅烷(ureidopropyltriethoxysilane)、脲基丙基三甲氧基硅烷、3-羟基-3,3-双(三氟甲基)丙基三乙氧基硅烷、4-(甲氧基甲氧基)三甲氧基硅烷基苯以及6-(甲氧基甲氧基)-2-(三甲氧基硅烷基)萘。
根据一实施例,水解和聚合是在完全无溶剂的情况下进行的,或其在有机溶剂中进行,例如在醇、酯、酮以及醚中进行。具体合适的溶剂是丙酮、乙基甲基酮、甲醇、乙醇、异丙醇、丁醇、乙酸甲酯、乙酸乙酯、乙酸丙酯、乙酸丁酯以及四氢呋喃。确切地说,合适的溶剂是酮和醚,确切地说其中存在在水解期间通过与硅原子配位的某些稳定效果。这类实例是乙基甲基酮、甲基四氢糠醚(methyl tetrahydrofurfuryl ether)以及乙基四氢糠醚。
通过以介于0.0001M到1M范围内的摩尔比添加酸或碱溶液来获得单体的受控水解(controlled hydrolysis)。有机或无机酸可用于合成中。可使用无机酸,例如硝酸、硫酸、氢氯酸、氢碘酸、氢溴酸、氢氟酸、硼酸、过氯酸、碳酸以及磷酸。优选地,硝酸或氢氯酸由于其低沸点而使用,其使得产物的纯化简单化。在其它选项中,可使用各种有机酸替代无机酸。有机酸是羧酸、磺酸、醇、硫醇、烯醇以及酚基团。实例是甲磺酸、乙酸、乙磺酸、甲苯磺酸、甲酸或草酸。用于合成的碱可类似地是无机或有机的。典型的无机碱和金属氢氧化物、碳酸盐(carbonate)、碳酸氢盐(bicarbonate)以及产生碱性水溶液的其它盐。这类材料的实例是氢氧化钠、氢氧化钾、氢氧化铯、氢氧化钙、碳酸钠以及碳酸氢钠。另一方面,有机碱包括由以下组成的较大基团:有机酸的金属盐(例如醋酸钠、乙酸钾、丙烯酸钠、甲基丙烯酸钠、苯甲酸钠);直链分支链或环状烷基胺(例如二氨基乙烷、腐胺(purtescine)、尸胺(cadaverine)、三乙胺、丁胺、二丁胺、三丁胺、哌啶(piperidine));脒(amidine)和胍(guanidine)(例如8-二氮杂双环(5.4.0)十一-7-烯、1,1,3,3-四甲基胍、1,5,7-三氮杂双环[4.4.0]-癸-5-烯);磷腈(phosphazanes)(例如P1-t-Bu、P2-t-Bu、P4-t-Bu);以及季铵化合物(例如四甲基铵氢氧化物、四乙基铵氢氧化物、四丁基铵氢氧化物)。
水解和缩合过程期间的反应混合物的温度可在-30℃与170℃之间变化。所属领域的技术人员了解较低反应温度提供对反应的改良控制但花费较长反应时间,而过高温度可使得过程过快而难以充分控制。因此,0℃到100℃温度下1小时到48小时的反应时间是优选的。2小时到24小时的反应时间是甚至更优选的。使用适当条件,根据本发明的方法在有机溶剂系统中产生部分地交联的任选地含碳-碳不饱和键的有机硅氧烷聚合物,所述聚合物具有相对于聚苯乙烯标准测量的约5,000克/摩尔到100,000克/摩尔,确切地说,约1,000克/摩尔到50,000克/摩尔的重量平均分子量。
本发明的另一实施例是进行水解和聚合的溶剂在聚合后改变为即使在一些稳定形式下仍提供材料更佳涂布性能和产物存储特性的溶剂。这类稳定有机溶剂系统通过有机醚任选地与其它一或多种共溶剂混合形成。有机醚是通常包括4个到26个碳原子和任选地其它官能团(例如羟基)的直链、分支链或环状醚。确切地说,合适的实例是任选地在环上带有取代基的五元和六元环醚,以及醚,例如(C1-20)烷二醇(C1-6)烷基醚。所述烷二醇烷基醚的实例是丙二醇单甲醚、丙二醇二甲醚、丙二醇正丁基醚、二丙二醇单甲醚、二丙二醇二甲醚、二丙二醇正丁基醚、三丙二醇单甲醚以及其混合物。本发明的醚的特别优选实例是甲基四氢糠基醚、四氢糠醇、丙二醇正丙基醚、二丙二醇二甲醚、丙二醇甲醚、丙二醇乙基醚以及其混合物。稳定溶剂系统由以下组成:包括仅这一类醚或这类醚与水解的典型反应介质的混合物的溶剂,或其它溶剂,例如丙二醇单甲醚乙酸酯。在这种情况下,醚的比例是溶剂的总量的约10重量%到90重量%,确切地说,约20重量%到80重量%。
由选定溶剂和树脂材料组成的辐射敏感调配物的固体含量在0.1%到不超过50%的范围内。最优选地,在0.5%到10%的范围内。固体含量(或聚合物含量)用于在涂布过程期间调节所得膜厚度。为改良关于涂层均一性的涂层性能,不同表面活性剂,例如硅酮或氟表面活性剂可用于降低含硅烷醇的聚氢倍半硅氧烷调配物涂层的表面张力。在需要的情况下,这类表面活性剂的用途可改良涂层品质。按含硅烷醇的聚氢倍半硅氧烷量的质量计,表面活性剂的量在0.001质量%到不超过10质量%的范围内。
已发现相较于常规HSQ树脂,将碳-碳不饱和键部分(作为前驱体III)引入聚氢倍半硅氧烷树脂中极大地有助于涂层的图案形成能力。在EUV或例如电子束的类似照射方法期间,H*基团可能由SiH形成。所形成的基团经历引起膜交联的转换。由于这一转换,经曝光区域失去其对显影剂水溶液的溶解度。含不饱和键的前驱体的使用提供替代交联机制且这有助于聚氢倍半硅氧烷树脂的交联。不饱和键可与由SiH形成的基团反应。
图4绘示含碳-碳不饱和键的聚氢倍半硅氧烷的EUV图案化机制的实施例,其中展现甲基丙烯酸脂类化合物的聚合。
一般来说,材料中的碳-碳不饱和键的存在改良聚合物的交联且因此提高敏感性。
含有碳-碳不饱和键的涂层展现随官能团的增大量变化的增大的敏感性。因此,相较于在聚合物结构中无这些碳-碳不饱和键的聚氢倍半硅氧烷,含碳-碳不饱和键的聚氢倍半硅氧烷在EUV光刻中展现更高敏感性。效果已展现于增大量的含碳-碳不饱和键的官能性有机硅前驱体的实例中,所述实例显示增大的敏感性,即减小了图案化树脂的剂量。因此,可调节官能性聚氢倍半硅氧烷树脂组成物以优化光刻图案化工艺的结果。
在一实施例中,应用树脂组成物中的1摩尔%到30摩尔%,例如2摩尔%到20%的量的含碳-碳不饱和键的有机硅前驱体。此类添加将增大敏感性。
含碳-碳不饱和键的官能性有机硅前驱体的效果通过电子束光刻展现且图案的扫描电子显微镜(scanning electron microscope;SEM)图像呈现于图5中。
实例1到实例2以及比较例1概述具有1.3%固体含量的树脂组成物,其用于浇筑涂层,利用EUV进行照射且最后利用2.38%TMAH进行显影以获得图案化图像。
如图5中所见,已获得22纳米的半间距(half pitch)的高分辨率SEM图像。当2.5%和5%3-甲基丙烯酰氧基丙基三甲氧基硅烷(3-methacryloxypropyltrimethoxysilane;MAPTMOS)单体用于合成时,获得图案的所需剂量在实例1减小33%和在实例2减小42%。通过选择含碳-碳不饱和键的不同官能性有机硅前驱体,敏感性可进一步提高,且当使用丙烯酸酯类前驱体替代甲基丙烯酸脂类前驱体(图8)时,实例5获得图案的所需剂量比比较例2少9%。通过使用含有降冰片烯部分的官能性有机硅前驱体也发现类似结果(实例6和实例7)。这使用EUV进一步证实(图9)。
含碳-碳不饱和键的其它有机硅前驱体可用于根据本发明的实施例中。
还发现聚氢倍半硅氧烷树脂组成物中的含有卤素原子的官能性有机硅前驱体的使用可产生类似效果,其中经涂布树脂对照射展现更高敏感性,其引起施加于经涂布树脂的光刻图案化的曝光步骤中的减小剂量(实例4)。
此外,已发现含碳-碳不饱和键的官能性有机硅前驱体连同单体以及含卤素原子的官能性有机硅前驱体的组合使用促进对照射的增大敏感性,其引起减小的剂量需求,如实例3中所论述。同样参考图6和图7。
非官能性聚氢倍半硅氧烷树脂或传统HSQ树脂在图案化线或其它形状之间可展现浮渣(scumming)或残余物。在过度曝光的情况下,这些残余物发展到线桥接,即所需图案化线通过非所需‘桥’连接。因此,需要减少桥接的方法,优选地使得剂量范围尽可能的宽泛以准许较大工艺窗(process window)。
可通过将另一官能性有机硅前驱体添加到聚氢倍半硅氧烷树脂组成物来减少线之间的浮渣或残余物。这类官能性有机硅前驱体增强树脂到显影剂中的溶解度。这已通过实例10和实例11展现(图11)。
出人意料发现聚氢倍半硅氧烷树脂组成物中的官能性有机硅前驱体不仅减少所形成图案中的残余物,且还增大用于树脂的工艺窗。
鉴于固化机制主要通过基团反应机制进行,因此还可通过在官能性聚氢倍半硅氧烷树脂调配物中添加基团清除剂(scavenger)来解决浮渣或桥接。通过添加通常已知基团抑制剂,我们发现可减少残余物(实例12和实例13,如图12所示)。
本发明含有与有机硅前驱体的水解溶液的稳定有关的又一个发现。在存在酸或碱催化剂的情况下,多官能有机硅前驱体的水解引发水解和缩合过程,其最终引起交联聚合物网络。一般来说,这一过程描述为溶胶-凝胶(sol-gel)材料。当在催化剂存在下,有机硅前驱体溶液本身或作为具有有机溶剂的混合物的一部分引入到水中时,引发水解和后续缩合过程。最初,前驱体水解且缩合,形成‘溶胶’,即可溶于溶剂或溶剂组合中的材料。随着缩合反应继续进行,多官能前驱体将产生不再可溶的交联网络。当存在呈溶剂形式的稀释剂时,这类状态通常被称作‘凝胶’。
鉴于最优用于本发明的多种化合物是多官能有机硅前驱体,因此当材料以其‘溶胶’状态存储时,提供降低缩合反应的速率的方式非常重要。已发现添加有机酸出乎意料地将引起稳定效果。可通过GPC(gel permeation chromatography)来监测这一稳定效果。令我们惊讶的是将有机酸或二酸添加到聚氢倍半硅氧烷树脂溶液已显著改良这些材料的稳定性(实例12和实例13)。
在某些实施例中,本发明涉及本文中描述的组成物在半导体衬底上形成图案的用途,如图1和图2中所示出。
因此,在本种类工艺中,通常衬底表面10涂布有有机底层12,继之以涂布且固化无机中间层14,所述无机中间层14通常由含高硅材料组成。在这一结构顶部上,涂布光致抗蚀剂16。在使用193纳米波长照射30后,形成图案并显影。这种层组合以‘三层’堆叠形式频繁地描述于文献中,参看层的数目。
后续图案转移蚀刻工艺可应用来将形成于光致抗蚀剂上的图案转移到衬底(图1)。值得注意的是,用于光刻的各种层的堆叠可高于3。
另一层组合是四层堆叠,其中首先涂覆有机底层12,继之以涂布且固化无机中间层14,所述无机中间层14通常由含高硅材料组成。在这一结构的顶部上,在完成四层堆叠的光致抗蚀剂16之前涂覆有机底部抗反射层18(图2)。
在本种类的光致抗蚀剂图案化中,所有所描述底层不仅可涂布且固化,且还可通过气相沉积工艺,例如化学气相沉积(chemical vapor deposition;CVD)、原子层沉积(atomic layer deposition;ALD)以及类似方法涂覆。
相同程序可应用于13.5纳米下的EUVL。然而,由于吸收率挑战、光酸和光子照射两者的随机分布,用于所述工艺的通常使用的CAR面对重大挑战,确切地说,与LER和LWR(linewidth roughness)有关的挑战。因此,大量关注已投向承诺堆叠简化的无机光致抗蚀剂的使用,其中层的数目减少且LER和LWR挑战降低。在大多数情况下,无机抗蚀剂由以下组成:呈可容易水解形式的一或多种化合物的金属氧化物或水解的金属氧化物前驱体以及氢倍半硅氧烷。这些无机抗蚀剂的益处已为:金属氧化物抗蚀剂产生空前的EUVL剂量敏感性,同时氢倍半硅氧烷聚合物提供极佳分辨率以及具有极差EUVL敏感性的低LER/LWR。因此,两个方法具有呈现其在EUVL图案化工艺中使用的挑战性的属性。本发明使用含碳-碳不饱和键和/或卤素的硅氧烷作为实现堆叠简化以及减少用于图案化工艺的金属的优选方法。
参考图3中绘示的实施例。在那个实施例中,在EUV中,衬底20在碳或非晶碳层上涂布有呈螺旋形式的主要有机涂层22。根据本发明的薄可图案化膜22是基于含碳-碳不饱和键和/或卤素和/或溶解度增强子的聚氢倍半硅氧烷树脂24形成。这一涂层可相较于文献中的HSQ树脂而容易地通过EUVL以高敏感性图案化且相较于文献中描述的CAR和无机树脂而显著降低LER/LWR。确切地说,本发明提供在不存在金属的情况下具有双层结构的高分辨率图案化的方式,其可以是产生完全官能性晶体管管芯而无显著产出损耗的显著优点。
含硅材料对于EUV具有相对低的吸收率。另一方面,含卤素抗蚀剂可改良对EUV的EUV吸收率且因此改良图案化结果。
通常呈非水溶剂形式的所需含碳-碳不饱和键和溶解度增强子的聚氢倍半硅氧烷溶液提供高分辨率图案化,其中溶液对于形成具有良好辐射敏感性的辐射可图案化涂层具有高稳定性程度。由含碳-碳不饱和键和溶解度增强子的聚氢倍半硅氧烷溶液形成的涂层的所需特征为形成图案化氧化硅涂层提供优异的直接图案化。在所关注实施例中,暴露于辐射将经照射涂层材料转化为对用显影剂组成物去除具有抵抗性以使得可选择性地去除的材料。因此,可负型地图案化涂层。选择性去除涂布材料的至少一部分可留下涂层区域已去除以暴露下伏衬底的图案。在照射后的涂层显影后,图案化的氧化硅材料可用于促进处理具有极佳图案分辨率的装置形成。涂层材料可设计成对选定辐射(例如极紫外光、紫外光和/或电子束)敏感。
此外,前驱体溶液可调配成在适合的存放期内是稳定的以用于商业配送。在本上下文中,确切地说,术语“稳定的”表示当在5℃到30℃下,确切地说在室温下,优选地在原始紧紧地密封容器中存储时将具有至少7天,确切地说至少14天,例如21天至多48个月,通常6个月到24个月的存放期的组成物。
辐射敏感涂层材料可用作负型辐射图案化涂层。在负型图案化中,暴露于辐射将经照射涂层材料转化为相对于未照射涂层材料的对利用显影剂组成物去除更具有抵抗性的材料。选择性地去除涂层材料的至少一部分留下区域已去除以暴露下伏衬底的图案。
形成集成电子装置及其类似物通常涉及材料的图案化以在结构内形成个别元件或组件。这种图案化可涉及覆盖彼此竖直和/或水平地介接的堆叠层的选定部分以诱发所需官能性的不同组成物。
各种材料可包括半导体,所述半导体可具有选定的掺杂物、介电质、电导体和/或其它类型的材料。
为形成高分辨率图案,辐射敏感有机组成物可用于引入图案,且由于组成物的部分被处理以对显影/蚀刻具有抵抗性使得选择性材料去除可用于引入选定的图案,因此组成物可称为抗蚀剂。
利用选定图案或图案负型的辐射可用于曝光抗蚀剂且用于形成具有显影剂抵抗性区域和显影剂可溶区域的图案或潜像(latent image)。本文中描述的含辐射敏感金属和硅烷醇的聚氢倍半硅氧烷组成物可用于在装置内直接形成所需的无机材料结构和/或作为有机抗蚀剂的替代物的辐射可图案化无机抗蚀剂。在任一情况下,可采用显著处理改良,且还可改良图案化材料的结构。
在一实施例中,抗蚀剂膜暴露于紫外光或确切地说极紫外波长范围内的光,例如1纳米到200纳米范围内的光,确切地说约13.5纳米(92eV)的光。
在一实施例中,用于抗蚀剂膜的组成物不含光起始剂。
基于本发明中呈现的实例,显而易见存在含溶解度增强子的化合物有助于溶解非曝光区域或防止非曝光区域上的反应,且因此改良分辨率和LER/LWR。类似地,基团抑制剂或抗氧化添加剂防止形成于曝光区域中的基团非所需地转移到非曝光区域。因此,可实现较低LER/LWR。
某些实施例包括在用于制造集成电路的表面20上沉积富含碳的底层22。通过气相工艺(例如CVD)或通过旋涂来沉积底层并固化。随后涂覆如本文所述的组成物24,产生可通过例如EUVL的新颖图案化技术直接图案化的主要富含硅和金属的氧化物涂层。
在通过旋涂或用于液体的其它合适的沉积方法涂覆后,应用预烘烤步骤以去除包含在涂层材料中的溶剂和挥发性化合物。这对于在制造设备中避免污染是必需的。可在不同温度下进行预烘烤且时间取决于溶剂和挥发性组分的沸腾温度。通常,可在60℃到120℃下进行预烘烤持续30秒到不超过30分钟的时间段。
在干燥或预烘烤步骤后,通过任选地使用的掩模使涂层暴露于EUV光、电子束或类似曝光方法(26)用于在衬底上产生图案。产生图案所需的剂量是最小10毫焦/平方厘米且最大200毫焦/平方厘米。
如前所述,在本发明技术的实施例中,由于包含工业应用中的合理输贯量和解决RLS权衡当中的各种原因,因此较低剂量是优选的。
在EUV曝光后,任选地进行曝光后烘烤。这一步骤可改良曝光区域的交联,其将引起抗蚀剂的更高对比度。曝光后烘烤可在80℃到不超过150℃的温度下进行持续介于30秒到不超过30分钟范围内的时间。
进行显影以去除未曝光区域,因此获得设计图案。在显影步骤期间,抗蚀剂24的非曝光区域溶解于显影剂中。
可有效地使用常用于基于具有0.1%到25%的不同质量比的碱(例如四甲基铵氢氧化物、氢氧化钾、氢氧化钠)的工业中的碱水溶液显影剂。通常通过使用喷洒或捣拌显影剂溶液方法以应用显影剂的已知方法来进行显影。替代地,可将衬底浸没在由显影剂组成的浴液中。
可任选地进行使曝光且显影的图案致密的固化步骤,以获得另一交联材料或通过材料展现一定回焊的工艺来改变抗蚀剂图案的形状。这一步骤可产生相较于在无固化步骤情况下制备的材料的抗蚀刻性的更高抗蚀刻性材料。可在120℃到400℃下进行固化持续2分钟到不超过30分钟。可通过加热放置在加热板上或烘箱中的涂层来进行固化。
实施例由以下表示。
官能性聚氢倍半硅氧烷抗蚀剂组成物,确切地说,用于极紫外或电子束光刻,包括至少50摩尔%的含有Si-H的第一硅烷氧基重复单元和至多30摩尔%的具有不饱和烃基(hydrocarbyl radical)的第三硅烷氧基重复单元,其中第一硅烷氧基重复单元由三烷氧基硅烷单体衍生且第三硅烷氧基重复单元由3-(烷基)丙烯酰氧基烷基三烷氧基硅烷单体衍生,第一单元与第三单元的摩尔比是99:1到85:15。
官能性聚氢倍半硅氧烷抗蚀剂组成物,确切地说,用于极紫外或电子束光刻,包括至少50摩尔%的含有Si-H的第一硅烷氧基重复单元和至多30摩尔%的具有不饱和烃基的第三硅烷氧基重复单元,其中第一硅烷氧基重复单元由三烷氧基硅烷单体衍生且第三硅烷氧基重复单元由降冰片烯三烷氧基硅烷单体衍生,第一单元与第三单元的摩尔比是99:1到85:15。
在以上实施例中,烷氧基和烷基优选地由含有1个到6个,确切地说1个到4个碳原子的低碳、直链或分支链烷氧基和烷基衍生。
以下非限制性实例示出了实施例。
实例
方法
在配备有串联连接的昭和(Shodex)KF柱(KF-G;KF-803L;KF-802;KF-801)的安捷伦(Agilent)1260无限LC上收集凝胶渗透色谱法数据。检测器和柱温度保持在40℃。THF洗脱剂的流速是1.0毫升/分钟。
在维斯泰克(Vistec)工具上进行电子束光刻。调配物是1.3%固体含量。通过旋涂器以1500转/分钟(rpm)的旋涂速度历时30秒将调配物旋涂在硅晶片上。随后在80℃的温度下在加热板上进行软烘烤,持续1分钟。电子束剂量在100千伏和1纳安(nA)的电流下从100微库/平方厘米(μC/cm2)到900微库/平方厘米变化。通过将膜浸没在2.38%TMAH溶液中持续1分钟来进行显影步骤。随后利用去离子水将膜反复地洗涤三次且最后利用氮气干燥。
EUV光刻:通过旋涂器以1500转/分钟的旋涂速度历时30秒将1.3%固体含量的聚合物调配物旋涂在硅晶片上。随后在80℃的温度下在加热板上进行软烘烤,持续1分钟。在软烘烤后,利用瑞士的菲利根的瑞士保罗谢勒研究所(Paul Scherrer Institute,Villigen,Switzerland)处的XIL-II EUV工具照射膜样本。剂量从2毫焦/平方厘米到150毫焦/平方厘米变化。通过将膜浸没在2.38%TMAH溶液中持续1分钟来进行显影步骤。随后利用去离子水将膜反复地洗涤三次且最后利用氮气干燥。
实例1
以HTEOS:MAPTMOS=97.5:2.5摩尔比制备聚合物溶液
在一个烧瓶中,使100克三乙氧基硅烷(HTEOS)和3.88克3-甲基丙烯酰氧基丙基三甲氧基硅烷(MAPTMOS)单体的混合物溶解于193.02克甲基四氢糠醚(tetrahydrofurfurylether;MeOTHF)中。HTEOS:MAPTMOS摩尔比是97.5:2.5。将48.26克MeOTHF、21.55克去离子水(deionized water;DIW)以及9.88克0.01M硝酸的混合物混合且在1.5小时内分成三个相等部分添加到上述单体溶液中。在完成添加后,持续反应以另外混合17小时。进行蒸发以获得15%到25%固体含量。添加聚合物重量四倍的甲基乙基酮(methyl ethyl ketone;MEK)。利用0.2微米过滤器过滤所获得聚合物溶液。凝胶渗透色谱法数据显示Mw是3945、Mn是2047且多分散性(polydispersity)是1.93。
实例2
以HTEOS:MAPTMOS=95:5摩尔比制备聚合物溶液
在一个烧瓶中,使100克三乙氧基硅烷(HTEOS)及7.96克3-甲基丙烯酰氧基丙基三甲氧基硅烷(MAPTMOS)单体的混合物溶解于193.02克甲基四氢糠醚(MeOTHF)中。HTEOS:MAPTMOS摩尔比是95:5。将48.28克MeOTHF、22.12克去离子水(DIW)以及10.14克0.01M硝酸的混合物混合且在1.5小时内分成三个相等部分添加到上述单体溶液中。在完成添加后,持续反应以另外混合17小时。进行蒸发以获得15%到25%的固体含量。添加聚合物重量四倍的甲基乙基酮(MEK)。利用0.2微米过滤器过滤所获得聚合物溶液。凝胶渗透色谱法数据显示Mw是3052、Mn是1690且多分散性是1.81。
比较例1
利用100%三乙氧基硅烷(HTEOS)类聚合物作为参考物制备聚合物溶液
在一个烧瓶中,使100克三乙氧基硅烷(HTEOS)的混合物溶解于193.02克甲基四氢糠醚(MeOTHF)中。将48.26克MeOTHF、21.04克去离子水(DIW)以及9.63克0.01M硝酸的混合物混合且在1.5小时内分成三个相等部分添加到上述单体溶液中。在完成添加后,持续反应以另外混合17小时。进行蒸发以获得15%到25%固体含量。添加聚合物重量四倍的甲基乙基酮(MEK)。利用0.2微米过滤器过滤所获得聚合物溶液。凝胶渗透色谱法数据显示Mw是3311、Mn是1669且多分散性是1.98。
测试聚合物
通过旋涂利用1500转/分钟的旋涂速度历时30秒来由1.3%固体聚合物溶液制备膜样本。利用80℃温度进行软烘烤,持续1分钟。2.38%TMAH溶液用作电子束光刻中的显影剂。
评估结果.实例1和实例2中的扫描电子显微镜图像使用电子束光刻展现高分辨率图案化(分别在图5中的b)和c))。实例1和实例2显示相较于比较例1中制备的材料的敏感性的明显改良。相较于比较例1,获得图案的所需剂量在实例1中减少33%且在实例2中减少42%。
实例3
以HTEOS:MAPTMOS:Cl-TEOS=90:5:5摩尔比制备聚合物溶液
在一个烧瓶中,使100克三乙氧基硅烷(HTEOS)、8.40克3-甲基丙烯酰氧基丙基三甲氧基硅烷(MAPTMOS)以及8.14克3-氯丙基三乙氧基硅烷(Cl-TEOS)单体(HTEOS:MAPTMOS:Cl-TEOS摩尔比是90:5:5)的混合物溶解于214.47克甲基四氢糠醚(MeOTHF)中。将53.60克MeOTHF、23.35克去离子水(DIW)以及10.73克0.01M硝酸的混合物混合且在1.5小时内分成三个相等部分添加到上述单体溶液中。在完成添加后,持续反应以另外混合17小时。进行蒸发以获得15%到25%的固体含量。添加聚合物重量四倍的甲基乙基酮(MEK)。利用0.2微米过滤器过滤所获得聚合物溶液。凝胶渗透色谱法数据显示Mw是2630、Mn是1500且多分散性是1.75。
测试聚合物
通过旋涂利用1500转/分钟的旋涂速度历时30秒来由1.3%固体聚合物溶液制备膜样本。利用80℃温度进行软烘烤,持续1分钟。2.38%TMAH溶液用作电子束光刻中的显影剂。
评估结果.实例3中的扫描电子显微镜图像使用电子束光刻展现高分辨率图案化(图6的c))。实例3中制备的抗蚀剂显示相较于实例1到实例2的改良敏感性,且比较例1中的参考样本显示相较于比较例1中制备的材料的明显改良的敏感性。相较于比较例1,获得图案的所需剂量在实例2中减少42%且在实例3中减少70%。
实例4
以HTEOS:Cl-TEOS=95:5摩尔比制备聚合物溶液
在一个烧瓶中,使50克三乙氧基硅烷(HTEOS)和3.86克3-氯丙基三乙氧基硅烷(Cl-TEOS)单体的混合物(HTEOS:Cl-TEOS的摩尔比是95:5)溶解于101.59克甲基四氢糠醚(MeOTHF)中。将25.39克MeOTHF、11.06克去离子水(DIW)以及5.07克0.01M硝酸的混合物混合且在1.5小时内分成三个相等部分添加到上述单体溶液中。在完成添加后,持续反应以另外混合17小时。进行蒸发以获得15%到25%的固体含量。添加聚合物重量四倍的甲基乙基酮(MEK)。利用0.2微米过滤器过滤所获得聚合物溶液。凝胶渗透色谱法数据显示Mw是2130、Mn是1224且多分散性是1.74。
测试聚合物
通过旋涂利用1500转/分钟的旋涂速度历时30秒来由1.3%固体聚合物溶液制备膜样本。利用80℃温度进行软烘烤,持续1分钟。2.38%TMAH溶液用作电子束光刻中的显影剂。
评估结果.实例4中的扫描电子显微镜图像使用电子束光刻展现高分辨率图案化(图7的b))。实例4中制备的抗蚀剂显示相较于实例1到实例2的改良敏感性,且比较例1中的参考样本显示相较于比较例1中制备的材料的明显改良的敏感性。相较于比较例1,获得图案的所需剂量在实例4中减少70%。
实例5
以HTEOS:APTMOS=90:10摩尔比制备聚合物溶液
在一个烧瓶中,使25克三乙氧基硅烷(HTEOS)和3.96克3-丙烯酰氧基丙基三甲氧基硅烷(APTMOS)单体的混合物(HTEOS:APTMOS的摩尔比是90:10)溶解于60.08克甲基四氢糠醚(MeOTHF)中。将15.02克MeOTHF、5.84克去离子水(DIW)以及2.67克0.01M硝酸的混合物混合且在1.5小时内分成三个相等部分添加到上述单体溶液中。在完成添加后,持续反应以另外混合17小时。进行蒸发以获得15%到25%的固体含量。添加聚合物重量四倍的甲基乙基酮(MEK)。利用0.2微米过滤器过滤所获得聚合物溶液。凝胶渗透色谱法数据显示Mw是2302、Mn是1409且多分散性是1.63。
比较例2
以HTEOS:MAPTMOS=90:10摩尔比制备聚合物溶液
在一个烧瓶中,使25克三乙氧基硅烷(HTEOS)和4.2克3-甲基丙烯酰氧基丙基三甲氧基硅烷(MAPTMOS)单体的混合物溶解于60.08克甲基四氢糠醚(MeOTHF)中。HTEOS:MAPTMOS摩尔比是90:10。将15.02克MeOTHF、5.84克去离子水(DIW)以及2.67克0.01M硝酸的混合物混合且在1.5小时内分成三个相等部分添加到上述单体溶液中。在完成添加后,持续反应以另外混合17小时。进行蒸发以获得15%到25%固体含量。添加聚合物重量四倍的甲基乙基酮(MEK)。利用0.2微米过滤器过滤所获得聚合物溶液。凝胶渗透色谱法数据显示Mw是2281、Mn是1396且多分散性是1.63。
聚合物测试
通过利用1500转/分钟的旋涂速度历时30秒旋涂1.3%聚合物溶液来制备膜样本。随后利用80℃温度进行软烘烤,持续1分钟。2.38%TMAH溶液用作电子束光刻中的显影剂。
评估结果.实例5和比较例2展示丙烯酸酯官能基产生相较于甲基丙烯酸脂官能化聚合物抗蚀剂的敏感性的更高敏感性。(图8中的电子束的SEM图像)。可通过丙烯酸酯基团的较小位阻且因此相较于甲基丙烯酸脂基团的活化能的更高活化能来解释更高敏感性。获得实例5的图案的所需剂量比比较例2少9%。
实例6
以HTEOS:NorTEOS=95:5摩尔比制备聚合物溶液
在一个烧瓶中,使30克三乙氧基硅烷(HTEOS)和2.46克降冰片烯三乙氧基硅烷(NorTEOS)单体的混合物(HTEOS:NorTEOS的摩尔比是95:5)溶解于60.95克甲基四氢糠醚(MeOTHF)中。将15.24克MeOTHF、6.64克去离子水(DIW)以及3.04克0.01M硝酸的混合物混合且在1.5小时内分成三个相等部分添加到上述单体溶液中。在完成添加后,持续反应以另外混合17小时。进行蒸发以获得15%到25%的固体含量。添加聚合物重量四倍的甲基乙基酮(MEK)。利用0.2微米过滤器过滤所获得聚合物溶液。凝胶渗透色谱法数据显示Mw是2960、Mn是1424且多分散性是2.08。
实例7
以HTEOS:NorTEOS=90:10摩尔比制备聚合物溶液
在一个烧瓶中,使10克三乙氧基硅烷(HTEOS)和1.73克降冰片烯三乙氧基硅烷(NorTEOS)单体的混合物(HTEOS:NorTEOS的摩尔比是90:10)溶解于24.03克甲基四氢糠醚(MeOTHF)中。将6.01克MeOTHF、2.34克去离子水(DIW)以及1.07克0.01M硝酸的混合物混合且在1.5小时内分成三个相等部分添加到上述单体溶液中。在完成添加后,持续反应以另外混合17小时。进行蒸发以获得15%到25%的固体含量。添加聚合物重量四倍的甲基乙基酮(MEK)。利用0.2微米过滤器过滤所获得聚合物溶液。凝胶渗透色谱法数据显示Mw是3332、Mn是1475且多分散性是2.26。
聚合物测试
通过利用1500转/分钟的旋涂速度历时30秒旋涂1.3%聚合物溶液来制备膜样本。随后利用80℃温度进行软烘烤,持续1分钟。2.38%TMAH溶液用作EUV光刻中的显影剂。
评估EUV结果.实例6到实例7以及比较例1展现将降冰片烯官能基并入聚合物抗蚀剂中的有益效果。添加仅极少重量百分比可清晰地消除浮渣问题并改良LER(图9中的SEM图像)。图9示出了通过使用a)展现LER=3.87纳米的比较例1、b)展现LER=2.86纳米的实例6以及c)展现LER=2.77纳米的实例7中的材料的EUVL获得的22纳米半间距图案化线的电子束结果;其中分别对应于a、b以及c的所施加EUV剂量是77.5毫焦/平方厘米(mJ/cm2)、97.5毫焦/平方厘米以及82.5毫焦/平方厘米。
实例8
利用1%MA制备聚合物溶液
添加实例1中制备的聚合物溶液以及1重量%(wt%)顺丁烯二酸(maleic acid;MA)。可用丙二醇单甲醚乙酸酯(propylene glycol monomethyl ether acetate;PGMEA)溶剂进一步稀释所获得混合物以获得所需厚度调配物。利用0.2微米过滤器过滤最终调配物。
实例9
利用2%MA制备聚合物溶液
添加实例1中制备的聚合物溶液以及2重量%(wt%)顺丁烯二酸。可用丙二醇单甲醚乙酸酯(PGMEA)溶剂进一步稀释所获得混合物以获得所需厚度调配物。利用0.2微米过滤器过滤最终调配物。
聚合物测试
通过利用1500转/分钟的旋涂速度历时30秒旋涂1.3%聚合物溶液来制备膜样本。随后利用80℃温度进行软烘烤,持续1分钟。2.38%TMAH溶液用作电子束光刻中的显影剂。
评估结果.实例8到实例9以及比较例1展现在聚合物抗蚀剂中添加顺丁烯二酸的有益效果。图10中的电子束结果显示在1%和2%MA的情况下聚合物稳定。比较例1、实例8以及实例9的电子束结果显示在相同剂量下的类似性能。
实例10
利用HTEOS:HFIPTEOS=99:1摩尔比制备聚合物溶液
在一个烧瓶中,使40克三乙氧基硅烷(HTEOS)和0.92克六氟异丙基三乙氧基硅烷(HFIPTEOS)单体的混合物(HTEOS:HFIPTEOS的摩尔比是99:1)溶解于77.99克甲基四氢糠醚(MeOTHF)中。将19.50克MeOTHF、8.50克去离子水(DIW)以及3.89克0.01M硝酸的混合物混合且在1.5小时内分成三个相等部分添加到上述单体溶液中。在完成添加后,持续反应以另外混合17小时。进行蒸发以获得15%到25%的固体含量。添加聚合物重量四倍的甲基乙基酮(MEK)。利用0.2微米过滤器过滤所获得聚合物溶液。凝胶渗透色谱法数据显示Mw是4612、Mn是1558且多分散性是2.96。
实例11
利用HTEOS:HFIPTEOS=98:2摩尔比制备聚合物溶液
在一个烧瓶中,使40克三乙氧基硅烷(HTEOS)和1.85克六氟异丙基三乙氧基硅烷(HFIPTEOS)单体的混合物(HTEOS:HFIPTEOS的摩尔比是99:1)溶解于78.78克甲基四氢糠醚(MeOTHF)中。将19.70克MeOTHF、8.59克去离子水(DIW)以及3.93克0.01M硝酸的混合物混合且在1.5小时内分成三个相等部分添加到上述单体溶液中。在完成添加后,持续反应以另外混合17小时。进行蒸发以获得15%到25%的固体含量。添加聚合物重量四倍的甲基乙基酮(MEK)。利用0.2微米过滤器过滤所获得聚合物溶液。凝胶渗透色谱法数据显示Mw是3762、Mn是1247且多分散性是3.02。
测试聚合物
通过旋涂利用1500转/分钟的旋涂速度历时30秒来由1.3%固体聚合物溶液制备膜样本。利用80℃温度进行软烘烤,持续1分钟。2.38%TMAH溶液用作EUV光刻中的显影剂。
评估结果.相较于比较例1中制备的聚合物样本的浮渣问题,实例11中制备的抗蚀剂显示明显更小浮渣问题(图11中的SEM图像)。图11示出了通过使用a)展现LER=3.87纳米的比较例1、b)展现LER=2.80纳米的实例10以及c)展现LER=3.05纳米的实例11中的材料的EUVL获得的22纳米半间距图案化线的电子束结果;其中分别对应于a、b以及c的所施加EUV剂量是77.5毫焦/平方厘米、87.5毫焦/平方厘米以及107.5毫焦/平方厘米。
实例12
添加比较例1中制备的聚合物溶液以及1重量%(wt%)顺丁烯二酸和0.75重量%季戊四醇四(3-(3,5-二叔丁基-4-羟苯基)丙酸盐)(pentaerythritoltetrakis(3-(3,5-di-tert-butyl-4-hydroxyphenyl)propionate))(I1010)抑制剂。可用丙二醇单甲醚乙酸酯(PGMEA)溶剂进一步稀释所获得混合物以获得所需厚度调配物。利用0.2微米过滤器过滤最终调配物。
实例13
添加比较例1中制备的聚合物溶液以及1重量%(wt%)顺丁烯二酸和1.25重量%季戊四醇四(3-(3,5-二叔丁基-4-羟苯基)丙酸盐)(I1010)抑制剂。可用丙二醇单甲醚乙酸酯(PGMEA)溶剂进一步稀释所获得混合物以获得所需厚度调配物。利用0.2微米过滤器过滤最终调配物。
测试聚合物
通过利用1500转/分钟的旋涂速度旋涂历时30秒由1.3%固体聚合物溶液制备实例12到实例13以及比较例1中的聚合物的膜样本。利用80℃温度进行软烘烤,持续1分钟。2.38%TMAH溶液用作EUV光刻中的显影剂。
评估结果.EUV结果显示添加少量I1010相较于比较例1改良浮渣问题且因此提供更佳LER(图12)。图12示出了通过使用a)展现LER=3.87纳米的比较例1、b)展现LER=4.96纳米的实例12以及c)展现LER=3.00纳米的实例13中的材料的EUVL获得绘示22纳米半间距图案化线的电子束结果;其中分别对应于a、b以及c的所施加EUV剂量是77.5毫焦/平方厘米、87.5毫焦/平方厘米以及90毫焦/平方厘米。
工业适用性
一般来说,本发明技术可用于半导体工业中。举例来说,本发明技术可用于确切地说使用光刻(lithographic)工艺,例如光刻(photolithographic)工艺来实现微电子装置中的复杂电路。实施例包括含碳-碳不饱和键、卤素以及溶解度增强子成分的聚氢倍半硅氧烷树脂。调节碳-碳不饱和键、卤素以及溶解度增强子成分将使得有可能获得用于应用于极紫外光刻中的高度敏感涂层。
缩写
CAR 化学放大型抗蚀剂
EBL 电子束光刻
EUV 极紫外
EUVL 极紫外光刻
GPC 凝胶渗透色谱法
HSQ 氢倍半硅氧烷
LER 线边缘粗糙度
LWR 线宽粗糙度
NIL 纳米印刷光刻
PBL 光子束光刻
SEM 扫描电子显微镜
TMAH 四甲基铵氢氧化物
参考列表
非专利文献
1.奥克罗安延武,U.(Okoroanyanwu,U.)光刻之分子理论(Molecular Theory ofLithography.)(SPIE出版社(SPIE Press),2015)。
2.甘耐克,A.S.(Gangnaik,A.S.),乔治,Y.M.(Georgiev,Y.M.)以及霍姆斯,J.D.(Holmes,J.D.)新一代电子束抗蚀剂:综述(New Generation Electron Beam Resists:AReview.)《材料化学(Chem.Mater.)》29,1898-1917(2017)。
3.西蒙尼,D.德(Simone,D.De)等人,EUV光刻材料的发展和挑战(Progresses andChallenges of EUV Lithography Materials.)《光聚合科学与技术杂志(J.Photopolym.Sci.Technol.)》27,601-610(2014)。
4.希金斯,C.D.(Higgins,C.D.)等人,用于极紫外光刻的较高光酸产生抗蚀剂的溶解度、线边缘粗糙度、敏感性取舍以及量子产率(Resolution,line-edge roughness,sensitivity tradeoff,and quantum yield of high photo acid generator resistsfor extreme ultraviolet lithography.)《日本应用物理学杂志(Jpn.J.Appl.Phys.)》50,1-8(2011)。
5.德西蒙,D.(De Simone,D.),韦斯特,Y.(Vesters,Y.)以及范登伯格,G.(Vandenberghe,G.),极紫外光刻(EUVL)中的光刻胶(Photoresists in extremeultraviolet lithography(EUVL).)《先进光学技术(Adv.Opt.Technol.)》6,163-172(2017)。
6.渡边武夫(Takeo Watanabe.),EUV光刻的当前状态和前景(Current statusand prospect for EUV lithography.)2017年第7届集成电路发展及验证国际会议(20177th Int.Conf.Integr.Circuit,Des.Verif.)3-8(2017)。
7.格里戈雷斯库,A.E.(Grigorescu,A.E.)和哈根,C.W.(Hagen,C.W.),聚焦于HSQ的电子束光刻的抗蚀剂:最新进展(Resists for sub-20-nm electron beam lithographywith a focus on HSQ:State of the art.)《纳米科技(Nanotechnology)》20,292001(2009)。
8.杨,J.K.W.(Yang,J.K.W.)等人,对用于低于5纳米半间距光刻的氢倍半硅氧烷电子抗蚀剂的理解(Understanding of hydrogen silsesquioxane electron resist forsub-5-nm-half-pitch lithography.)《真空科学与技术杂志,B辑:微电子学与纳米结构(J.Vac.Sci.Technol.B Microelectron.Nanom.Struct.)》27,2622(2009)。

Claims (38)

1.一种用于极紫外或电子束光刻的官能性聚氢倍半硅氧烷树脂组成物,包括至少50摩尔%的含Si-H的硅烷氧基重复单元和最多30摩尔%的具有不饱和烃基的硅烷氧基重复单元。
2.根据权利要求1所述的用于极紫外或电子束光刻的官能性聚氢倍半硅氧烷树脂组成物,基于所述官能性聚氢倍半硅氧烷树脂组成物的总重量的重量%,所述官能性聚氢倍半硅氧烷树脂组成物具有大于35重量%的硅含量。
3.根据权利要求1或2所述的用于极紫外或电子束光刻的官能性聚氢倍半硅氧烷树脂组成物,包括含至少部分地交联的有机硅氧烷聚合物的溶剂,所述有机硅氧烷聚合物具有相对于聚苯乙烯标准所测量的500克/摩尔到100,000克/摩尔。
4.根据权利要求1或2所述的用于极紫外或电子束光刻的官能性聚氢倍半硅氧烷树脂组成物,包括酸、潜伏酸、碱、潜伏碱、潜伏催化剂或其组合。
5.根据权利要求1或2所述的用于极紫外或电子束光刻的官能性聚氢倍半硅氧烷树脂组成物,其中液相通过用于官能性聚氢倍半硅氧烷树脂的至少一种有机溶剂形成或所述至少一种有机溶剂与水混合形成。
6.根据权利要求1或2所述的用于极紫外或电子束光刻的官能性聚氢倍半硅氧烷树脂组成物,包括通过使二官能或三官能硅烷与其它含前驱体的可水解硅一起经历水解/缩合反应获得的官能性聚氢倍半硅氧烷树脂溶液,所述可水解硅是官能性聚氢倍半硅氧烷。
7.根据权利要求6所述的用于极紫外或电子束光刻的官能性聚氢倍半硅氧烷树脂组成物,其中所述二官能或三官能硅烷含有有机基团,所述有机基团含有一或多个卤素原子。
8.根据权利要求6所述的用于极紫外或电子束光刻的官能性聚氢倍半硅氧烷树脂组成物,其中所述二官能或三官能硅烷含有有机基团,所述有机基团带有使得有机硅氧烷聚合物更可溶于碱性显影剂溶液中的官能性。
9.根据权利要求1或2所述的用于极紫外或电子束光刻的官能性聚氢倍半硅氧烷树脂组成物,包括含有SiO部分、沿硅氧烷聚合物分布的多个反应性位点以及第一SiH部分的硅氧烷聚合物、含不饱和烃基的第二有机硅部分以及独立地选自非官能性且含卤素的烃基的中间硅烷氧基(SiO)部分,其中所述硅氧烷聚合物具有500克/摩尔到50,000克/摩尔的分子量。
10.根据权利要求1或2所述的用于极紫外或电子束光刻的官能性聚氢倍半硅氧烷树脂组成物,包括具有通式(I)的官能性聚氢倍半硅氧烷树脂,
Figure FDA0002391110340000021
其中
A、B、C、D以及E各自表示独立地选自1到1000的整数;
X代表卤素原子;
Z代表增强对碱性显影剂的溶解度的官能基;
R1代表氢;
R6代表含不饱和部分的烃基;以及
R2到R5以及R7到R10各自独立地代表氢或烃基。
11.根据权利要求1或2所述的用于极紫外或电子束光刻的官能性聚氢倍半硅氧烷树脂组成物,其中组成物适合于产生浇筑于衬底上的涂层调配物,且其中通过直接照射或使用掩模来图案化所述衬底上的涂层。
12.一种产生根据权利要求1到11中任一项所述的官能性聚氢倍半硅氧烷树脂组成物的方法,所述官能性聚氢倍半硅氧烷树脂组成物含有至少SiH部分和共价结合到Si的不饱和烃基。
13.根据权利要求12所述的方法,包括:
水解具有附接到硅上的至少两个可水解基团的第一单体含氢硅化合物以及
第二单体硅化合物,具有至少一个烃基或不具有烃基以及附接到所述第二单体硅化合物的硅原子上的至少一个可水解基团,或所述第二单体硅化合物连同以下中的至少一个:
第三单体硅化合物,具有至少一个官能基和附接到所述第三单体硅化合物的硅原子上以形成硅氧烷材料的至少一个可水解基团,其中所述官能基是不饱和的,以及
第四单体硅化合物,具有至少一个官能基和附接到所述第四单体硅化合物的硅原子上以形成硅氧烷材料的至少一个可水解基团,其中所述官能基含有卤素原子,以及
第五单体硅化合物,具有至少一个官能基和附接到所述第五单体硅化合物的硅原子上以形成硅氧烷材料的至少一个可水解基团,其中所述官能基增强对显影剂的溶解,且在溶剂系统中,将所述硅氧烷材料调配成组成物。
14.根据权利要求12或13所述的方法,其中以50摩尔%到100摩尔%使用第一单体含氢硅化合物,以0摩尔%到20摩尔%使用第二单体硅化合物,以0.1摩尔%到20摩尔%使用第三单体硅化合物,且以0摩尔%到20摩尔%使用第四单体硅化合物。
15.根据权利要求12或13所述的方法,包括水解具有通式II的第一硅化合物
R1 a-Si-R2 b (II)
其中
a是1或2的整数,
b是2或3的整数,
R1表示氢原子,以及
R2表示独立地选自羟基、烷氧基、酰氧基以及卤素的可水解基团。
16.根据权利要求15所述的方法,包括通过水解具有所述通式II的第一硅化合物以及具有通式III的第二化合物来产生共聚(有机硅氧烷),其中标志a、b、R1以及R2具有与上文相同的含义,
R3 c-SiR21 n-R4 d (III)
其中
R3和R4独立地选自烷基、芳基、芳烷基、卤化烷基、卤化芳基、卤化芳烷基、烯基、具有环氧基的有机基团、丙烯酰基、甲基丙烯酰基、巯基、烷氧基芳基、酰氧基芳基、异氰尿酸酯基、羟基、环氨基或氰基,或其组合,
R21表示烷氧基、酰氧基或卤素基团,
c是0到1的整数,
n是2到4的整数,以及
d是0到2的整数,
其中c+n+d的总值未超过4。
17.根据权利要求15所述的方法,包括通过水解具有所述通式II的第一硅化合物以及具有具有通式IV的第二化合物来产生包括共聚(有机硅氧烷)的组成物,其中所述标志a、b、R1以及R2具有与上文在式II中相同的含义,
R5 e-SiR21 n-R6 f (IV)
其中
R5独立地选自烷基、芳基、芳烷基、卤化烷基、卤化芳基、卤化芳烷基、烯基、具有环氧基的有机基团、巯基、烷氧基芳基、酰氧基芳基、羟基、环氨基或氰基,或其组合,
R6是含有存在于以下基团中的双键或三键的官能基:烷基、芳基、芳烷基、卤化烷基、卤化芳基、卤化芳烷基、烯基、烷氧基烷基、烷氧基芳基、酰氧基芳基或其组合,
R21是烷氧基、酰氧基或卤素基团,
e是0到1的整数,
n是1到3的整数,且
f是0到2的整数,
其中e+n+f的总值是4或小于4。
18.根据权利要求15所述的方法,包括通过水解具有所述通式II的第一硅化合物以及具有通式V的第二化合物来产生包括共聚(有机硅氧烷)的组成物,其中所述标志a、b、R1以及R2具有与上文在式II中相同的含义,
R7 g-SiR21 n-R8 h-X (V)
其中
X是卤素基团,
R7独立地选自烷基、芳基、芳烷基、卤化烷基、卤化芳基、卤化芳烷基、烯基、具有环氧基的有机基团、巯基、烷氧基芳基、酰氧基芳基、羟基、环氨基或氰基,或其组合,
R8是共价结合到X和Si两者的间隔基团且独立地选自由以下衍生的二价基团:烷基、芳基、芳烷基、卤化烷基、卤化芳基、卤化芳烷基、烯基、烷氧基芳基、酰氧基芳基或其组合,
R21是烷氧基、酰氧基或卤素基团,
g是0到1的整数,
n是1到3的整数,以及
h是0到1的整数,且
其中g+n+h的总值是4或小于4。
19.根据权利要求15所述的方法,包括通过水解具有所述通式II的第一硅化合物以及具有通式VI的第二化合物来产生包括共聚(有机硅氧烷)的组成物,其中所述标志a、b、R1以及R2具有与上文在式II中相同的含义,
R9 i-SiR21 n-R10 j-Zy k (VI)
其中
Zy是选自以下的基团:羟基、羧酸、巯基、胺或其盐或促进在显影剂水溶液中的溶解度的四级铵盐,以及
R9独立地选自烷基、芳基、芳烷基、卤化烷基、卤化芳基、卤化芳烷基、烯基、具有环氧基的有机基团、巯基、烷氧基芳基、酰氧基芳基、羟基、环氨基或氰基,或其组合,
R10是共价结合到Zy和Si两者的间隔基团且可独立地选自由以下衍生的二价基团:烷基、芳基、芳烷基、卤化烷基、卤化芳基、卤化芳烷基、烯基、烷氧基芳基、酰氧基芳基或其组合,
R21是烷氧基、酰氧基或卤素基团,
i是0到1的整数,
n是1到3的整数,以及
j是0到2的整数,
其中i+n+j的总值是4或小于4,在式(VI)的结构中,j表示间隔基团R10涵盖的值且必须等于或大于1。
20.根据权利要求12或13所述的方法,包括使用三乙氧基硅烷(HSi(OC2H5)3)和含不饱和烃基的硅前驱体或在其它可水解硅烷的混合物中的三乙氧基硅烷(HSi(OC2H5)3)和含不饱和烃基的硅前驱体,所述其它可水解硅烷经历受控水解/缩合反应,以制得部分缩合的聚氢倍半硅氧烷树脂。
21.根据权利要求12或13所述的方法,向获得的聚氢倍半硅氧烷树脂或涂层溶液中添加基团抑制剂或抗氧化剂。
22.根据权利要求12或13所述的方法,向获得的聚氢倍半硅氧烷树脂或涂层溶液中添加防止所述聚氢倍半硅氧烷树脂老化的稳定剂。
23.根据权利要求22所述的方法,其中所述稳定剂选自二羧酸,包括顺丁烯二酸、丙二酸以及丁二酸中的至少一个。
24.一种用特定波长下的光辐射来图案化用含碳-碳不饱和键和含卤素以及含溶解度增强子的聚氢倍半硅氧烷涂布的衬底的方法,所述方法包括以下步骤:
沿选定图案照射经涂布衬底以形成具有照射涂层的区域和具有未照射涂层的区域的照射结构,以及
部分地显影所述照射结构以去除大部分所述未照射涂层以形成图案化衬底。
25.根据权利要求24所述的方法,其中用紫外波长下的光辐射来照射经涂布衬底。
26.根据权利要求24或25所述的方法,其中用1纳米与200纳米之间的波长下的光辐射来照射用含碳-碳不饱和键和含卤素以及含溶解度增强子的聚氢倍半硅氧烷涂布的衬底。
27.根据权利要求26所述的方法,其中用13.5纳米或小于13.5纳米的波长下的光辐射来照射用含碳-碳不饱和键和含卤素以及含溶解度增强子的聚氢倍半硅氧烷涂布的衬底。
28.根据权利要求24或25所述的方法,其中涂层包括:
硅氧烷聚合物,所述硅氧烷聚合物包含SiO部分、沿硅氧烷聚合物分布的多个反应性位点以及第一SiH部分;第二中间芳族和非芳族部分;含碳-碳不饱和键的第三部分,所述碳-碳不饱和键选自甲基丙烯酸脂、丙烯酸酯、降冰片烯或其组合;含卤素的第四部分;选自以下的第五部分:羟基、羧酸、巯基、胺或其盐或促进在显影剂水溶液中的溶解度的四级铵盐,其中所述硅氧烷聚合物具有500克/摩尔到50000克/摩尔的分子量。
29.根据权利要求24或25所述的方法,其中涂层包括:
具有通式(I)的聚氢倍半硅氧烷树脂
Figure FDA0002391110340000061
其中
A、B、C、D以及E各自表示独立地选自1到1000的整数;
X代表卤素原子;
Z代表增强对碱性显影剂的溶解度的官能基;
R1代表氢;
R6代表含不饱和部分的烃基;
R2到R5以及R7到R10独立地代表氢或烃基。
30.根据权利要求24或25所述的方法,包括使衬底图案化,包括:
沿选定图案照射经涂布衬底以形成具有照射涂层的区域和具有未照射涂层的区域的照射结构,其中所述经涂布衬底包括涂层,所述涂层具有5纳米到400纳米的平均厚度且包括在材料结构中的具有Si-H和碳-碳不饱和键的Si-O-Si网络;
在45℃到200℃的温度下加热所述照射结构0.1分钟到30分钟,以形成退火的照射结构;以及
部分地显影所述退火的照射结构以去除大部分所述未照射涂层以形成图案化衬底。
31.根据权利要求24或25所述的方法,其中所述照射结构具有不可溶于碱水溶液的照射涂层和可溶于碱水溶液的未照射涂层,使得所述照射结构可经历负型成像。
32.根据权利要求24或25所述的方法,包括使衬底图案化,所述衬底包括具有表面和沿所述表面的选定区域处且沿所述表面在其它区域不存在的涂层的衬底,所述涂层包括具有硅-碳键和硅-氢和/或具有碳-碳不饱和键的硅-氧网络,所述涂层可溶于有机液体中或碱水溶液中。
33.根据权利要求24或25所述的方法,其中图案化是在不存在任何光起始剂的情况下进行。
34.一种形成抗蚀剂膜的方法,所述方法通过施加根据权利要求1到11中任一项所述的官能性聚氢倍半硅氧烷树脂组成物以在半导体衬底上形成抗蚀底层膜且烘烤所述官能性聚氢倍半硅氧烷树脂组成物。
35.一种产生半导体装置的方法,包括:
将组成物施加到半导体衬底上且烘烤组成物以形成一或多个抗蚀底层膜;
将根据权利要求1到11中任一项所述的官能性聚氢倍半硅氧烷树脂组成物作为抗蚀剂施加到一或多个抗蚀底层膜上以形成抗蚀剂膜;
使所述抗蚀剂膜暴露于光;
在曝光后,显影所述抗蚀剂膜以形成抗蚀剂图案;
使用所述抗蚀剂图案来蚀刻所述抗蚀底层膜;以及
使用由此图案化的所述抗蚀剂膜和由此图案化的所述抗蚀底层膜来制造所述半导体衬底。
36.一种产生半导体装置的方法,包括:
在半导体衬底上形成有机底层膜;
将用于形成抗蚀剂膜的根据权利要求1到11中任一项所述的官能性聚氢倍半硅氧烷树脂组成物施加到所述有机底层膜上且烘烤所述官能性聚氢倍半硅氧烷树脂组成物以形成抗蚀剂膜;
使所述抗蚀剂膜暴露于光;
在曝光后,显影所述抗蚀剂膜以形成抗蚀剂图案;
使用所述抗蚀剂图案来蚀刻所述抗蚀底层膜;
使用由此图案化的所述抗蚀底层膜来蚀刻所述有机底层膜;以及
使用由此图案化的所述有机底层膜来制造所述半导体衬底。
37.根据权利要求35或36所述的产生半导体装置的方法,其中使所述抗蚀剂膜暴露于紫外光波长范围内的光。
38.根据权利要求35或36所述的产生半导体装置的方法,其中根据权利要求1到11中任一项所述的官能性聚氢倍半硅氧烷树脂组成物不含光起始剂。
CN202010114667.XA 2019-02-25 2020-02-25 官能性聚氢倍半硅氧烷树脂组成物、产生其的方法及其用途 Active CN111607089B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
FI20195142A FI128886B (en) 2019-02-25 2019-02-25 Functional hydrogen silicon oxane polymers and their uses
FI20195142 2019-02-25

Publications (2)

Publication Number Publication Date
CN111607089A true CN111607089A (zh) 2020-09-01
CN111607089B CN111607089B (zh) 2023-10-10

Family

ID=69770933

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010114667.XA Active CN111607089B (zh) 2019-02-25 2020-02-25 官能性聚氢倍半硅氧烷树脂组成物、产生其的方法及其用途

Country Status (8)

Country Link
US (1) US20220162391A1 (zh)
EP (1) EP3931639A1 (zh)
JP (1) JP2022521442A (zh)
KR (1) KR20210132677A (zh)
CN (1) CN111607089B (zh)
FI (1) FI128886B (zh)
SG (1) SG11202109106QA (zh)
WO (1) WO2020174126A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115826354B (zh) * 2022-11-18 2023-08-25 之江实验室 基于硅氢加成反应的飞秒激光光刻胶及制备、图案化方法

Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0725106A2 (en) * 1995-02-02 1996-08-07 DOW CORNING ASIA, Ltd. Radiation curable compositions
EP0798341A1 (en) * 1996-03-29 1997-10-01 DOW CORNING ASIA, Ltd. Radiation-curable composition and method for manufacturing cured-product patterns therefrom
US5973095A (en) * 1997-04-21 1999-10-26 Alliedsignal, Inc. Synthesis of hydrogensilsesquioxane and organohydridosiloxane resins
WO2003044078A1 (en) * 2001-11-15 2003-05-30 Honeywell International Inc. Anti-reflective coatings for photolithography and methods of preparation thereof
US20030108812A1 (en) * 2001-07-30 2003-06-12 Jorg Rottstegge Silicon-containing resist for photolithography
JP2006117846A (ja) * 2004-10-22 2006-05-11 Hitachi Chem Co Ltd パターン形成用樹脂組成物及びパターン形成方法
JP2006143835A (ja) * 2004-11-18 2006-06-08 Hitachi Chem Co Ltd 放射線硬化性樹脂組成物、これを用いた光導波路、及び光導路の製造方法
JP2006169391A (ja) * 2004-12-16 2006-06-29 Hitachi Chem Co Ltd 放射線硬化性樹脂組成物及びこれを用いた光導波路並びに光導波路の製造方法
CN1871550A (zh) * 2003-10-24 2006-11-29 国际商业机器公司 低活化能含硅抗蚀剂体系
JP2007154047A (ja) * 2005-12-05 2007-06-21 Jsr Corp ポリシロキサン及び感放射線性樹脂組成物
CN101048704A (zh) * 2004-11-02 2007-10-03 陶氏康宁公司 抗蚀剂组合物
US20070281242A1 (en) * 2003-07-03 2007-12-06 Sanlin Hu Silsesquioxane Resin
US20090220889A1 (en) * 2005-11-10 2009-09-03 Tokyo Ohka Kogyo Co., Ltd. Photosensitive resin composition and method of forming pattern
US20100007025A1 (en) * 2006-02-02 2010-01-14 Jsr Corporation Organic silica film and method for forming same, composition for forming insulating film of semiconductor device and method for producing same, wiring structure and semiconductor device
CN102245674A (zh) * 2008-12-10 2011-11-16 陶氏康宁公司 倍半硅氧烷树脂
US20110311781A1 (en) * 2010-06-22 2011-12-22 International Business Machines Corp. Method of patterning photosensitive material on a substrate containing a latent acid generator
CN102439523A (zh) * 2009-07-23 2012-05-02 道康宁公司 用于双重图案化的方法和材料
CN103460135A (zh) * 2011-03-29 2013-12-18 道康宁公司 用于设备制造的可曝光成像和可显影的倍半硅氧烷树脂
WO2016208300A1 (ja) * 2015-06-24 2016-12-29 富士フイルム株式会社 パターン形成方法、積層体、及び、有機溶剤現像用レジスト組成物
CN109071576A (zh) * 2016-05-03 2018-12-21 美国陶氏有机硅公司 倍半硅氧烷树脂和氧杂胺组合物
JP2019001961A (ja) * 2017-06-19 2019-01-10 東亞合成株式会社 半導体装置及びその製造方法

Patent Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0725106A2 (en) * 1995-02-02 1996-08-07 DOW CORNING ASIA, Ltd. Radiation curable compositions
EP0798341A1 (en) * 1996-03-29 1997-10-01 DOW CORNING ASIA, Ltd. Radiation-curable composition and method for manufacturing cured-product patterns therefrom
US5973095A (en) * 1997-04-21 1999-10-26 Alliedsignal, Inc. Synthesis of hydrogensilsesquioxane and organohydridosiloxane resins
US20030108812A1 (en) * 2001-07-30 2003-06-12 Jorg Rottstegge Silicon-containing resist for photolithography
WO2003044078A1 (en) * 2001-11-15 2003-05-30 Honeywell International Inc. Anti-reflective coatings for photolithography and methods of preparation thereof
US20070281242A1 (en) * 2003-07-03 2007-12-06 Sanlin Hu Silsesquioxane Resin
CN1871550A (zh) * 2003-10-24 2006-11-29 国际商业机器公司 低活化能含硅抗蚀剂体系
JP2006117846A (ja) * 2004-10-22 2006-05-11 Hitachi Chem Co Ltd パターン形成用樹脂組成物及びパターン形成方法
CN101048704A (zh) * 2004-11-02 2007-10-03 陶氏康宁公司 抗蚀剂组合物
JP2006143835A (ja) * 2004-11-18 2006-06-08 Hitachi Chem Co Ltd 放射線硬化性樹脂組成物、これを用いた光導波路、及び光導路の製造方法
JP2006169391A (ja) * 2004-12-16 2006-06-29 Hitachi Chem Co Ltd 放射線硬化性樹脂組成物及びこれを用いた光導波路並びに光導波路の製造方法
US20090220889A1 (en) * 2005-11-10 2009-09-03 Tokyo Ohka Kogyo Co., Ltd. Photosensitive resin composition and method of forming pattern
JP2007154047A (ja) * 2005-12-05 2007-06-21 Jsr Corp ポリシロキサン及び感放射線性樹脂組成物
US20100007025A1 (en) * 2006-02-02 2010-01-14 Jsr Corporation Organic silica film and method for forming same, composition for forming insulating film of semiconductor device and method for producing same, wiring structure and semiconductor device
CN102245674A (zh) * 2008-12-10 2011-11-16 陶氏康宁公司 倍半硅氧烷树脂
CN102439523A (zh) * 2009-07-23 2012-05-02 道康宁公司 用于双重图案化的方法和材料
US20110311781A1 (en) * 2010-06-22 2011-12-22 International Business Machines Corp. Method of patterning photosensitive material on a substrate containing a latent acid generator
CN103460135A (zh) * 2011-03-29 2013-12-18 道康宁公司 用于设备制造的可曝光成像和可显影的倍半硅氧烷树脂
WO2016208300A1 (ja) * 2015-06-24 2016-12-29 富士フイルム株式会社 パターン形成方法、積層体、及び、有機溶剤現像用レジスト組成物
CN109071576A (zh) * 2016-05-03 2018-12-21 美国陶氏有机硅公司 倍半硅氧烷树脂和氧杂胺组合物
JP2019001961A (ja) * 2017-06-19 2019-01-10 東亞合成株式会社 半導体装置及びその製造方法

Also Published As

Publication number Publication date
KR20210132677A (ko) 2021-11-04
EP3931639A1 (en) 2022-01-05
CN111607089B (zh) 2023-10-10
FI20195142A1 (en) 2020-08-26
WO2020174126A1 (en) 2020-09-03
SG11202109106QA (en) 2021-09-29
TW202041599A (zh) 2020-11-16
US20220162391A1 (en) 2022-05-26
FI128886B (en) 2021-02-26
JP2022521442A (ja) 2022-04-07

Similar Documents

Publication Publication Date Title
US10409163B2 (en) Photosensitive resin composition, cured film, element provided with cured film, and method for manufacturing semiconductor device
JP5632387B2 (ja) 湿式エッチング可能な反射防止膜
FI129480B (en) Silanol-containing organic-inorganic hybrid coatings for high-resolution patterning
JP5642731B2 (ja) パターン形成方法
EP2657240A1 (en) Silicon compound, silicon-containing compound, composition for forming resits underlayer film containing the same and patterning process
TWI531865B (zh) A multilayer photoresist process pattern forming method and an inorganic film forming composition for a multilayer photoresist process
JP5654479B2 (ja) 切り替え可能な反射防止膜
JP5970933B2 (ja) パターン形成方法
CN111607089B (zh) 官能性聚氢倍半硅氧烷树脂组成物、产生其的方法及其用途
TWI842839B (zh) 官能性聚氫倍半矽氧烷樹脂組成物、產生其的方法及其用途
WO2015012177A1 (ja) パターン形成方法
KR20050044501A (ko) 포토리소그래피용 무반사 코팅 및 이의 제조 방법
KR102586109B1 (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
WO2023033094A1 (ja) 半導体製造用ウエハ端部保護膜形成組成物
TW202414093A (zh) 電子器件製造方法及堆疊體
TW202414094A (zh) 電子器件製造方法及堆疊體
KR20220095940A (ko) 반도체 포토레지스트용 조성물, 및 이를 이용한 패턴 형성 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant