TW202041599A - 官能性聚氫倍半矽氧烷樹脂組成物、產生其的方法及其用途 - Google Patents

官能性聚氫倍半矽氧烷樹脂組成物、產生其的方法及其用途 Download PDF

Info

Publication number
TW202041599A
TW202041599A TW109106031A TW109106031A TW202041599A TW 202041599 A TW202041599 A TW 202041599A TW 109106031 A TW109106031 A TW 109106031A TW 109106031 A TW109106031 A TW 109106031A TW 202041599 A TW202041599 A TW 202041599A
Authority
TW
Taiwan
Prior art keywords
group
functional
polyhydrosilsesquioxane
coating
silicon
Prior art date
Application number
TW109106031A
Other languages
English (en)
Other versions
TWI842839B (zh
Inventor
湯瑪斯 葛達
亮堂 阮
馬可斯 勞卡南
基摩 卡瑞斯德
奧斯卡里 凱赫克寧
朱哈 連達拉
Original Assignee
芬蘭商Pibond股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 芬蘭商Pibond股份有限公司 filed Critical 芬蘭商Pibond股份有限公司
Publication of TW202041599A publication Critical patent/TW202041599A/zh
Application granted granted Critical
Publication of TWI842839B publication Critical patent/TWI842839B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/045Polysiloxanes containing less than 25 silicon atoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/12Polysiloxanes containing silicon bound to hydrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/14Polysiloxanes containing silicon bound to oxygen-containing groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/20Polysiloxanes containing silicon bound to unsaturated aliphatic groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/22Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen
    • C08G77/24Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen halogen-containing groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • C08L83/06Polysiloxanes containing silicon bound to oxygen-containing groups
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0755Non-macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09FDISPLAYING; ADVERTISING; SIGNS; LABELS OR NAME-PLATES; SEALS
    • G09F9/00Indicating arrangements for variable information in which the information is built-up on a support by selection or combination of individual elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B1/00Conductors or conductive bodies characterised by the conductive materials; Selection of materials as conductors
    • H01B1/20Conductive material dispersed in non-conductive organic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Theoretical Computer Science (AREA)
  • Dispersion Chemistry (AREA)
  • Silicon Polymers (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本發明涉及半導體基底上的用於在其上形成圖案的含碳-碳不飽和鍵、含鹵素以及含溶解度增強子的塗層。可通過將半導體基底塗布有含碳-碳不飽和鍵、含鹵素以及含溶解度增強子的聚氫倍半矽氧烷樹脂溶液來產生本發明塗層。本文還提供一種用於利用特定波長下的光輻射來圖案化用含碳-碳不飽和鍵、含鹵素以及含溶解度增強子的聚氫倍半矽氧烷塗布的基底的方法,方法包括以下步驟:沿選定圖案照射經塗布基底以形成具有照射塗層的區域和具有未照射塗層的區域的經照射結構,以及選擇性地顯影經照射結構以去除大部分的未照射塗層以形成圖案化基底。

Description

官能性聚氫倍半矽氧烷樹脂組成物、產生其的方法及其用途
本發明涉及在使用官能化聚氫倍半矽氧烷樹脂塗層組成物的基底上進行圖案化步驟的基於輻射的方法。
本發明進一步涉及含一或多種水解前驅物的溶液,所述溶液可作為官能化聚氫倍半矽氧烷沉積到可直接通過極高解析度或通過應用遮蔽輻射進行圖案化的樹脂塗層且沉積到在圖案化之前和之後由前驅物溶液形成的經塗布基底和塗層。
行業已通過通用曝光工具從436奈米(g線)、405奈米(h線)、365奈米(i線)、248奈米(KrF准分子)以及最後193奈米(ArF准分子)轉變為限定波長(奧柯洛揚武(Okoroanyanwu)2015,甘耐克(Gangnaik)等人2017,德西蒙(De Simone)等人2014)。
248奈米和193奈米波長下使用的抗蝕劑材料是化學放大型抗蝕劑(chemically amplified resist;CAR),所述化學放大型抗蝕劑的組成物及微結構已根據在基底上印刷不斷更精細特徵的需要而演化。隨著這一趨勢的延續,λ =13.5奈米(92 eV)的極紫外(extreme ultraviolet;EUV)波長是用於下一代光刻的主要候選者(德西蒙等人2017)。
近十年來,已在用於大批量生產積體電路的EUV光刻(EUV lithography;EUVL)研發中進行大量投資。提出各種奈米光刻技術來擴展可印刷在二維表面上的特徵的縮小,所述奈米光刻技術包含電子束光刻(electron beam lithography;EBL)、柔性光刻、奈米印刷光刻(nanoimprint lithography;NIL)、光子束光刻(photon beam lithography;PBL)或掃描探針光刻。
EUVL的成功需要皆遇到某些益處及缺點的新的光致抗蝕劑材料。官能性光致抗蝕劑應提供RLS特徵,亦即,解析度(resolution;R)、線邊緣粗糙度(line-edge roughness;LER)以及敏感性(sensitivity;S),如希金斯(Higgins)等人2011所描述。
存在已開發以使用EUVL形成圖案的多種不同材料方法。在一般水準上,這些材料可分類為三個不同類別:有機抗蝕劑、矽類抗蝕劑以及含金屬抗蝕劑。
通常稱作非CAR抗蝕劑的有機抗蝕劑可展現充足LER,但極大缺點為由於較差EUV吸收特徵而需要高劑量。為增大抗蝕劑的敏感性,已應用CAR材料。另一方面,CAR材料受較差LER影響,所述較差LER主要由於CAR中的光子散粒雜訊和光酸產生劑分佈的統計影響。
為解決兩個有機型抗蝕劑的挑戰,已利用抗蝕劑材料中包含的輻射敏感組分製備調配物。具有13.5奈米EUV光子的高莫耳吸收率的元素是金屬。因此,已存在對含金屬抗蝕劑的不斷增大的關注度,其中將金屬添加到其它抗蝕劑材料中以改良敏感性,或金屬已用作分子抗蝕劑、金屬氧化物奈米顆粒、有機金屬前驅物或用作金屬有機構架。
這類抗蝕劑已顯示高敏感性、解析度且因此視為未來EUVL抗蝕劑的關鍵候選者。然而,其主要缺點是金屬由於其可能破壞電晶體的功能而很大程度上不利於製造積體電路,且LER特徵需要改良。此外,德西蒙等人及渡邊(Watanabe)提及在EUV掃描器中,含金屬的抗蝕劑中的金屬物種與原子H或基團(radical)H* 相互作用形成極危害光學器件使用期限的金屬氫化物(MxHy)。另外,高LER是金屬類抗蝕劑和化學放大型抗蝕劑兩者的問題(德西蒙等人2017)。
CAR的另一缺點是圖案的低抗蝕刻性和不穩定性(格裡格雷斯庫(Grigorescu)和赫根(Hagen)2009)。因此,CAR需要用於轉移圖案到基底的富含矽的中間層和富含碳的底層兩者。基於金屬和矽的無機樹脂具有由於通過EUVL直接圖案化無機中間層而簡化光刻堆疊的益處。
氫倍半矽氧烷(Hydrogen silsesquioxane;HSQ)是廣泛利用的負型電子束和EUVL抗蝕劑材料。由於其對於高密度圖案,即小於10奈米間距結構的潛力,故已進行集中研究以發現最佳製程。然而,其受極低敏感性影響,需要濃縮顯影劑且已知在處理期間相對地不穩定而使得其工業採用受限,如(楊(Yang)等人2009)所描述。
存在可便於研發適用於EUVL的製程的若干因素,例如顯影劑溫度、顯影劑濃度以及顯影劑組成物。儘管現有技術發展,仍在尋找提供RLS特徵而無過度金屬污染的官能性光致抗蝕劑。
本發明的目標是提供新穎官能性聚氫倍半矽氧烷(polyhydrogensilsesquioxane)樹脂塗層組成物。
本發明的另一個目標是提供產生新穎官能性氫倍半矽氧烷樹脂的方法。
本發明的第三目標是提供用於利用光輻射來圖案化用官能性聚氫倍半矽氧烷塗布的基底的方法。
本發明的另一目標是提供包括適用於通過光輻射或電子束圖案化的輻射敏感塗層的經塗布基底。
本發明的另一目標是提供形成抗蝕劑膜的方法。
本發明的另一目標是提供產生半導體裝置的方法。
作為其它目標,本發明涉及一種用於極紫外或電子束光刻的官能性聚氫倍半矽氧烷樹脂組成物,包括至少50莫耳%的含Si-H的矽烷氧基重複單元和最多30莫耳%的具有不飽和烴基的矽烷氧基重複單元。
作為其它目標,本發明涉及一種產生官能性聚氫倍半矽氧烷樹脂組成物的方法,所述官能性聚氫倍半矽氧烷組成物含有至少SiH部分和共價結合到Si的不飽和烴基。
作為其它目標,本發明涉及一種用於利用特定波長下的光輻射來圖案化用含碳-碳不飽和鍵和/或含鹵素鍵的聚氫倍半矽氧烷塗布的基底的方法,所述方法包括以下步驟:沿選定圖案照射經塗布基底以形成具有照射塗層的區域和具有未照射塗層的區域的照射結構,以及部分地顯影照射結構以去除大部分未照射塗層以形成圖案化基底。經塗布基底大體包括用於圖案形成的塗層,所述塗層包括具有矽-碳鍵和矽-氫和/或具有碳-碳不飽和鍵和/或含鹵素鍵的矽-氧網路。
作為其它目標,本發明涉及一種形成抗蝕劑膜的方法,所述方法通過施加官能性聚氫倍半矽氧烷樹脂組成物以在半導體基底上形成抗蝕底層膜且烘烤所述官能性聚氫倍半矽氧烷樹脂組成物。
作為其它目標,本發明涉及一種產生半導體裝置的方法,包括:將組成物施加到半導體基底上且烘烤組成物以形成一或多個抗蝕底層膜;將官能性聚氫倍半矽氧烷樹脂組成物作為抗蝕劑施加到一或多個抗蝕底層膜上以形成抗蝕劑膜;使所述抗蝕劑膜暴露於光;在曝光後,顯影所述抗蝕劑膜以形成抗蝕劑圖案;使用所述抗蝕劑圖案來蝕刻所述抗蝕底層膜;以及使用由此圖案化的所述抗蝕劑膜和由此圖案化的所述抗蝕底層膜來製造所述半導體基底。
作為其它目標,本發明涉及一種產生半導體裝置的方法,包括:在半導體基底上形成有機底層膜;將用於形成抗蝕劑膜的官能性聚氫倍半矽氧烷樹脂組成物施加到所述有機底層膜上且烘烤所述官能性聚氫倍半矽氧烷樹脂組成物以形成抗蝕劑膜;使所述抗蝕劑膜暴露於光;在曝光後,顯影所述抗蝕劑膜以形成抗蝕劑圖案;使用所述抗蝕劑圖案來蝕刻所述抗蝕底層膜;使用由此圖案化的所述抗蝕底層膜來蝕刻所述有機底層膜;以及使用由此圖案化的所述有機底層膜來製造所述半導體基底。
作為另一個目標,本發明涉及一種用於利用特定波長下的光輻射來圖案化用含碳-碳不飽和鍵和/或含鹵素鍵的聚氫倍半矽氧烷塗布的基底的方法,其中波長是13.5奈米或小於13.5奈米。
作為另一目標,本發明涉及一種包括輻射敏感塗層的經塗布基底,所述輻射敏感塗層具有不超過約5微米的平均厚度和與沿塗層的任一點處的平均值的不超過約50%的厚度變化,所述塗層包括具有矽-碳鍵和矽-氫和/或具有碳-碳不飽和鍵和/或含鹵素鍵的矽-氧網路。
本發明的另一目標涉及一種圖案化基底,包括具有表面和沿表面的選定區域處存在且沿表面的其它區域處不存在的第一塗層的基底。一般來說,第一塗層包括具有矽-碳鍵和矽-氫和/或碳-碳不飽和鍵和/或含鹵素鍵的矽-氧網路。替代地,第一塗層可溶於至少一些有機液體中,或第一塗層可溶於鹼水溶液中。
作為一額外目標,本發明涉及一種前驅物溶液,包括有機液體和相較於矽-氧網路矽-碳鍵和矽-氫中的Si-H或Si-R的約0.001M到約1M碳-碳不飽和鍵,且前驅物溶液具有約0.5厘泊(cP)到約150厘泊的黏度。有機液體可具有至少10℃的閃點(flash point)和20℃下的小於約10千帕的蒸氣壓。
作為另一個目標,本發明涉及一種圖案化基底,包括具有表面和沿表面的選定區域處存在且沿表面的其它區域處不存在的第一塗層的基底。一般來說,第一塗層包括具有矽-碳鍵和矽-氫和/或具有碳-碳不飽和鍵的矽-氧網路,且具有氧電漿或灰化處理中的低於50奈米/分鐘的蝕刻速率。
本發明的最後一個目標是能夠通過共聚合限制或促進塗層對顯影劑的溶解度的前驅物的方法來改變塗層的溶解度,所述塗層包括具有矽-碳鍵和矽-氫和/或具有碳-碳不飽和鍵和/或含鹵素鍵的矽-氧網路。
常規HSQ樹脂不含矽烷醇官能性。在我們的同在申請中的發明(FI專利申請案20185677)中,其顯示相較於HSQ樹脂,HSQ樹脂中的矽烷醇部分的存在提高塗層的圖案形成能力。因此,相較於到目前為止文獻中所描述的聚氫倍半矽氧烷,含矽烷醇的聚氫倍半矽氧烷在EUV光刻中展現更高敏感性。
另外,本文提供聚氫倍半矽氧烷樹脂,具有帶矽-碳鍵和矽-氫以及碳-碳不飽和鍵、含鹵素的鍵以及溶解度增強基團或其組合的網路。
將呈現,存在於膜中的樹脂的矽烷醇基與通過EUV從SiH中產生的中間反應性矽物種反應,但這僅是一個可能解釋。
另外,已發現添加官能化基團進一步提高聚氫倍半矽氧烷樹脂的敏感性。添加含有官能團(例如不飽和鍵或鹵素原子)的含矽前驅物進一步提高樹脂的敏感性且允許更寬製程界限或窗。
類似地,增強在顯影劑水溶液中的溶解度的含有官能團的含矽前驅物可進一步將圖案形成和化合物改良到更寬製程界限。
這些溶液可用於在半導體基底上產生澆築含矽烷醇官能性有機-無機混合塗層以形成圖案。
本發明還提供包括輻射敏感塗層的經塗布基底,所述輻射敏感塗層包括具有官能性矽-碳鍵和矽-氫和/或具有矽烷醇鍵的矽-氧網路。
本發明進一步提供一種用於利用特定波長下的光輻射或電子束來圖案化用官能性聚氫倍半矽氧烷塗布的基底的方法,所述方法包括以下步驟:沿選定圖案照射經塗布基底以形成具有照射塗層的區域和具有未照射塗層的區域的照射結構,以及選擇性地顯影照射結構以去除大部分未照射塗層以形成圖案化基底。
另外,本發明提供一種用於利用特定波長下的光輻射來圖案化用官能性聚氫倍半矽氧烷塗布的基底的方法,其中所述波長是13.5奈米或小於13.5奈米。
又另外,本發明提供一種圖案化基底,包括具有表面和沿表面的選定區域處存在且沿表面的其它區域處不存在的第一塗層的基底。一般來說,第一塗層包括具有矽-碳鍵和矽-氫和/或具有矽烷醇鍵的官能性聚氫倍半矽氧烷。替代地,第一塗層可溶於至少一些有機液體中,或第一塗層可溶於鹼水溶液中。
更具體地說,本發明的特徵在於獨立請求項中規定的特性化部分。
通過本發明獲得大量優勢。
本文中所述的本發明解決低於20奈米的特徵的圖案化的關鍵挑戰。另外,所描述材料提供較高氧電漿抗蝕刻性,使得有可能簡化光刻堆疊。另外,令我們驚訝的是,包括具有與碳-碳不飽和鍵和/或含鹵素鍵和/或溶解度增強基團組合地存在的矽-碳鍵和矽-氫的聚氫倍半矽氧烷樹脂網路的塗層的用途准許使用行業標準2.38重量% TMAH(tetramethylammonium hydroxide)溶液來借由設計經照射塗層對顯影劑的溶解度特徵來顯影圖案。
因此,本發明溶液可用於將塗層澆築在半導體基底上以通過後續烘烤、輻射以及顯影步驟形成圖案。確切地說,本發明允許以工業上可行的方式控制樹脂的微結構且解決現有技術的缺點。舉例來說,可調節官能性聚氫倍半矽氧烷樹脂組成物以賦予更高敏感性和更寬製程窗,這對於應用於EUVL中的所獲得高度敏感塗層是高度需要的。
本文中所述的本發明解決關鍵RLS挑戰,且另外提供高氧電漿抗蝕刻性,使得有可能簡化光刻堆疊。
另外,包括具有矽-氧或具有矽-碳鍵和矽-氫和/或具有矽烷醇鍵的矽-氧-金屬網路的官能性聚氫倍半矽氧烷的用途准許使用行業標準2.38重量% TMAH溶液來借由設計經照射塗層對顯影劑的溶解度特徵來顯影圖案。
本發明技術的其它特徵和優勢將依靠以下實施例的詳細論述顯現。
除非本文中另外說明或根據上下文清楚,否則本文中提到的任何百分比表現為基於相應組成物的總重量的重量%。
除非另外說明,否則本文中已以實驗方式測量或測定的特性已在室溫下測量或測定。除非另外指明,否則室溫是25℃。
也必須指出,除非另外說明,否則如說明書和所附申請專利範圍中所用,單數形式「一(a)」、「一(an)」以及「所述」包含多個指示物。
如本文所用,術語「約」是指±5%規定值的值。
如本文所用,術語「約」是指實際給定值,且也是指將由本領域的一般技術人員合理地推斷的這類給定值的近似值,包含由於這類給定值的實驗和/或測量情況所引起的近似值。
除非另外說明,否則術語「分子量」或「平均分子量」是指重量平均分子量(也簡稱為「Mw」)。
本發明的實施例涉及一種製造官能性聚氫倍半矽氧烷樹脂溶液的方法,其中可水解矽前驅物單獨或與合適的其它含矽前驅物一起經歷受控的水解/縮合反應。
如根據下文將顯現,本發明技術在半導體基底上提供含碳-碳不飽和鍵、含鹵素以及含溶解度增強子的塗層以形成圖案。可通過將半導體基底塗布有含碳-碳不飽和鍵、含鹵素以及含溶解度增強子的聚氫倍半矽氧烷樹脂溶液來產生本發明塗層。
同樣更詳細地描述包括用於利用特定波長下的光輻射來圖案化用含碳-碳不飽和鍵、含鹵素以及含溶解度增強子的聚氫倍半矽氧烷塗布的基底的方法的實施例。通常,方法的實施例包括以下步驟:沿選定圖案照射經塗布基底以形成具有照射塗層的區域和具有未照射塗層的區域的照射結構,以及選擇性地顯影照射結構以去除大部分未照射塗層以形成圖案化基底。
本發明材料可表徵為「官能性聚氫倍半矽氧烷樹脂」。這類材料含有由有機化合物以及無機化合物衍生的基團,如下文將解釋。另外,本發明材料含有矽烷醇基(silanol group),即展現連接Si-O-H的基團,以及通常沿其主鏈(確切地說沿其主要矽氧烷鏈)的展現與Si的連接的其它官能團。
術語「官能性」用於例如指示新穎聚氫倍半矽氧烷聚合物展現「官能性」,即能夠反應的基團。這類官能團將有助於樹脂和/或由樹脂製備的塗層的特性。
更具體地說,與聚氫倍半矽氧烷樹脂有關的本發明中的官能團涉及連接到含有碳-碳不飽和鍵的樹脂中的矽原子的烴基,當所述碳-碳不飽和鍵即使以較小量存在時,也可極大地改良基底上的圖案形成。
也同與聚氫倍半矽氧烷樹脂有關的本發明的官能團有關的本發明的實施例涉及連接到含有鹵素原子的樹脂中的矽原子的烴基,當所述鹵素原子即使以較小量存在時,也通過提高樹脂的吸收率來進一步改良基底上的圖案形成。
更具體地說,與聚氫倍半矽氧烷樹脂有關的本發明中的官能團涉及連接到含有溶解度增強基團的樹脂中的矽原子的烴基,當所述溶解度增強基團即使以較小量存在時,也可通過產生非曝光區域對於顯影劑的較佳溶解來極大地改良基底上的圖案形成。
樹脂或塗層的矽含量通常是至少30重量%,確切地說35重量%或大於35重量%,且可甚至達到約52重量%的值。
一般來說,聚氫倍半矽氧烷樹脂主鏈的每一重複單元存在約70%到99% Si-H基團。在一實施例中,通常,聚氫倍半矽氧烷樹脂主鏈的每一單元存在約90%到98% Si-H基團。
當結合任選地存在於本發明組成物中的組分使用時,術語「潛伏」(latent)是指在例如通過光處理組成物或樹脂期間的活化特性。因此,「潛伏」催化劑是例如當樹脂或組成物暴露於光時,確切地說通過光活化的物質。類似地,組成物中的潛伏酸和潛伏鹼可在暴露於光時活化。
在一實施例中,本發明材料經固化而無需光催化劑。因此,在一具體實施例中,在不存在光起始劑的情況下進行圖案化。
本發明的實施例還涉及使用官能性聚氫倍半矽氧烷溶液來在半導體基底上澆築塗層以通過後續烘烤、照射以及顯影步驟形成圖案。確切地說,本發明涉及以工業上可行的方式控制樹脂的微結構且解決現有技術的缺點的能力。舉例來說,本發明涉及控制聚氫倍半矽氧烷樹脂中的碳-碳不飽和鍵的量的能力,這對於獲得應用於EUVL中的高度敏感塗層是高度需要的。
根據實施例,本發明技術涉及一種適用於在基底上形成照射可固化矽氧烷層的組成物,所述組成物包括:含SiO部分、沿聚合物分佈的多個反應性位點以及第一SiH部分的矽氧烷聚合物;和中間芳族和非芳族部分;含有不飽和鍵的第三有機矽部分;含有鹵素基團的第四有機矽部分;以及含有增強對顯影劑的溶解度的官能基的第五有機矽部分,其中聚合物具有500克/莫耳到50000克/莫耳的重量平均分子量,且組成物優選地更包括酸和/或鹼以及溶劑。
根據優選實施例,本發明涉及一種適用於產生塗層調配物的組成物,所述塗層調配物可澆築在基底上,且其中可通過照射可圖案化膜來圖案化基底上的塗層且其中塗層由通式(I)表示。
Figure 02_image001
(I)
在式I中,標誌A、B、C、D以及E各自表示獨立地選自1到1000的整數,Z代表官能基且X代表鹵素原子。
此外,在式I中,R1 到R10 各自獨立地代表氫或烴基,確切地說,R1 代表氫;R6 代表含不飽和部分的烴基;且R2 到R5 以及R7 到R10 各自獨立地代表氫或烴基。在實施例中,R1 可代表氫;R6 代表含不飽和部分的烴基;且R2 到R5 以及R7 到R10 各自代表烴基。
在本上下文中,術語「不飽和部分」代表確切地說在碳原子之間展現雙鍵或三鍵的結構。這類鍵被稱作「不飽和鍵」。不飽和部分可能含有一個或幾個不飽和鍵。不飽和鍵可以是共軛或非共軛的。
通常,在一實施例中,不飽和部分含有至少一個烯系不飽和鍵。不飽和部分的實例包含含雙鍵或三鍵的基團或結構,例如伸烯基、芳基、芳烷基、鹵化芳基、鹵化芳烷基、烯基、烷氧基芳基、醯氧基芳基或其組合。當然,各自還可含有取代基,通常選自以下群組:鹵化物、烷氧基、羥基、硫醇基、酯基、側氧基(oxo group)、酮基、羧酸基、胺以及醯胺。
R1 到R10 中的每一個也可代表或包含伸烷基、伸環烷基、鹵化烷基及伸烷基以及烷氧基烷基。
不飽和部分的具體實例包含乙烯基、乙烯基醚、丙烯酸酯、烷基丙烯酸酯(alkacrylate)、烯丙基、降冰片烯或其組合。
此外,下文檢測不飽和部分以及各種前驅物。
「烷氧基」和「烷基」、「伸烷基」以及「伸烯基」是由包括1個到12個碳原子,通常1個到10個碳原子的烷烴衍生的直鏈或分支鏈基團。在本發明技術的實施例中,烷氧基和烷基優選地是直鏈或分支鏈基團,確切地說,低碳烷氧基和低碳烷基。這類基團含有1個到6個,確切地說,1個到4個碳原子。
「伸環烷基」包括至少3個,優選地至少4個,且確切地說,至少5個碳原子且至多20個碳原子環。
「芳基」帶有官能基或由芳環衍生的取代基的常規含義,通常芳族烴,例如苯基和萘基(naphthyl)或蒽基(antracenyl)。芳基通常含有可稠合或部分地稠合的1個到5個芳環。
上述組成物通過水解以下化合物獲得:具有附接到矽上的至少一個可水解基團的第一單體含氫矽化合物(「前驅物A」)以及具有至少一個烴基和附接到化合物的矽原子上的至少一個可水解基團的任選地第二單體矽化合物(即「前驅物B」), 具有含不飽和鍵的至少一個官能基和附接到化合物的矽原子上的至少一個可水解基團的第三單體矽化合物(即「前驅物C」), 具有至少一個可水解基團和至少一個含鹵素基團的任選地第四單體矽化合物(即「前驅物D」), 具有至少一個官能基和附接到化合物的矽原子上以形成矽氧烷材料的至少一個可水解基團的任選地第五單體矽化合物(即「前驅物E」),其中官能基增強對顯影劑的溶解,且 在合適的溶劑系統中,將矽氧烷材料調配成組成物,確切地說,穩定的組成物。
用於本發明的前驅物的比率可變化。可以50莫耳%到100莫耳%使用前驅物A,可以0莫耳%到20莫耳%使用前驅物B,可以0.1莫耳%到20莫耳%,通常1莫耳%到10莫耳%使用前驅物C,可以0莫耳%到20莫耳%使用前驅物D,且可以0莫耳%到10莫耳%使用前驅物E。可通過在相同反應容器中或單獨地在特定部分或各前驅物中獨立地進行水解和縮合來獲得矽氧烷組成物。
在一實施例中,前驅物A與前驅物B的莫耳比是99:1到85:15。
本發明特別適合產生包括通過水解具有通式II的第一矽化合物獲得的共聚(有機矽氧烷)的組成物。 R1 a -Si-R2 b (II)
在通式II中,a是1或2的整數,而b是2或3的整數。R1 表示氫原子且R2 表示可獨立地選自羥基、烷氧基、醯氧基以及鹵素的可水解基團。在「鹵素」的含義中,可水解基團中的每一個優選且獨立地代表氯、碘、氟或溴。在「烷氧基」的含義中,可水解基團中的每一個優選且獨立地代表甲氧基、乙氧基、正丙氧基、異丙氧基、丁氧基、第二丁氧基、第三丁氧基。在「醯氧基」(acyloxy)的含義中,可水解基團中的每一個優選且獨立地代表乙醯氧基、丙烯醯氧基或甲基丙烯醯氧基。這類前驅物的具體實例是三乙氧基矽烷(triethoxysilane;HTEOS)、三甲氧基矽烷(trimethoxysilane;HTMOS)、二乙氧基矽烷以及三氯矽烷。在一些情況下,R2 也可以是有機基團,例如甲基、乙基、丙基、乙烯基。這類前驅物的具體實例包含甲基二甲氧基矽烷、甲基二乙氧基矽烷、甲基二氯矽烷、乙烯基二甲氧基矽烷、乙烯基二乙氧基矽烷以及乙烯基二氯矽烷。
本發明還涉及包括共聚(有機矽氧烷)的組成物,所述共聚(有機矽氧烷)通過水解具有通式II的第一矽化合物以及具有通式III的前驅物獲得。 R3 c -SiR21 n -R4 d (III)
其中R3 和R4 可獨立地選自烷基、芳基、芳烷基、鹵化烷基、鹵化芳基、鹵化芳烷基、烯基、具有環氧基的有機基團、丙烯醯基、甲基丙烯醯基、巰基、烷氧基芳基、醯氧基芳基、異氰尿酸酯基、羥基、環胺基或氰基,或其組合。R21 可以是烷氧基、醯氧基或鹵素基團。c是0到1的整數,且n是2到4的整數,且d是0到2的整數,其中c + n + d的總值可能未超過4。
本發明進一步涉及包括共聚(有機矽氧烷)的組成物,所述共聚(有機矽氧烷)通過水解具有通式II的第一矽化合物以及具有通式IV的前驅物獲得。 R5 e -SiR21 n -R6 f (IV)
其中R5 獨立地選自烷基、芳基、芳烷基、鹵化烷基、鹵化芳基、鹵化芳烷基、烯基、具有環氧基的有機基團、巰基、烷氧基芳基、醯氧基芳基、羥基、環胺基或氰基,或其組合,R6 是含有存在於以下基團中的雙鍵或三鍵的官能基:烷基、芳基、芳烷基、鹵化烷基、鹵化芳基、鹵化芳烷基、烯基、烷氧基烷基、烷氧基芳基、醯氧基芳基或其組合,R21 是烷氧基、醯氧基或鹵素基團,e是0到1的整數,n是1到3的整數,且f是0到2的整數,其中e + n + f的總值是4或小於4。
本發明最後涉及包括共聚(有機矽氧烷)的組成物,所述共聚(有機矽氧烷)通過水解具有通式II的第一矽化合物以及具有通式V的前驅物獲得。 R7 g -SiR21 n -R8 h -X            (V)
其中X是鹵素基團,R7 獨立地選自烷基、芳基、芳烷基、鹵化烷基、鹵化芳基、鹵化芳烷基、烯基、具有環氧基的有機基團、巰基、烷氧基芳基、醯氧基芳基、羥基、環胺基或氰基,或其組合,R8 是共價結合到X和Si兩者的間隔基團(spacer group)且可獨立地選自由以下衍生的二價基團:烷基、芳基、芳烷基、鹵化烷基、鹵化芳基、鹵化芳烷基、烯基、烷氧基芳基、醯氧基芳基或其組合,R21 是烷氧基、醯氧基或鹵素基團,g是0到1的整數,n是1到3的整數,且h是0到1的整數,且其中g + n + h的總值是4或小於4。在式(V)的結構中,h表示間隔基團R8 可涵蓋的值且必須等於或大於1。
本發明最後涉及包括共聚(有機矽氧烷)的組成物,所述共聚(有機矽氧烷)通過水解具有通式II的第一矽化合物以及具有通式VI的前驅物獲得。 R9 i -SiR21 n -R10 j -Zy (VI)
其中Zy 是選自以下的基團:羥基、羧酸、巰基、胺或其鹽或促進在顯影劑水溶液中的溶解度的四級銨鹽,且R9 獨立地選自烷基、芳基、芳烷基、鹵化烷基、鹵化芳基、鹵化芳烷基、烯基、具有環氧基的有機基團、巰基、烷氧基芳基、醯氧基芳基、羥基、環胺基或氰基,或其組合,R10 是共價結合到Zy 和Si兩者的間隔基團且可獨立地選自由以下衍生的二價基團:烷基、芳基、芳烷基、鹵化烷基、鹵化芳基、鹵化芳烷基、烯基、烷氧基芳基、醯氧基芳基或其組合,R21 是烷氧基、醯氧基或鹵素基團,i是0到1的整數,n是1到3的整數,且j是0到2的整數,且其中i + n + j的總值是4或小於4。在式(VI)的結構中,j表示間隔基團R10 可涵蓋的值且必須等於或大於1。
前驅物(III)的具體實例包含(但不限於)四甲氧基矽烷、四氯矽烷、四乙醯氧基矽烷、四乙氧基矽烷(tetraethoxysilane)、四-正丙氧基矽烷、四異丙氧基矽烷、四-正丁氧基矽烷、甲基三甲氧基矽烷、甲基三乙氧基矽烷、甲基三氯矽烷、甲基三乙醯氧基矽烷、甲基三丙氧基矽烷、甲基三丁氧基矽烷、甲基三苯氧基矽烷、甲基三苯甲氧基矽烷、乙基三甲氧基矽烷、乙基三乙氧基矽烷、苯基三甲氧基矽烷、苯基三氯矽烷、苯基三乙醯氧基矽烷、苯基三乙氧基矽烷、γ-巰基丙基三甲氧基矽烷、γ-巰基丙基三乙氧基矽烷、β-氰基乙基三乙氧基矽烷、二甲基二甲氧基矽烷、苯基甲基二甲氧基矽烷、二甲基二乙氧基矽烷、二丙基二乙氧基矽烷、二苯基二甲氧基矽烷、苯基甲基二乙氧基矽烷、二甲基二乙醯氧基矽烷、γ-巰基丙基甲基二甲氧基矽烷、γ-巰基甲基二乙氧基矽烷、縮水甘油氧基甲基三甲氧基矽烷(glycidoxymethyltrimethoxysilane)、縮水甘油氧基甲基三乙氧基矽烷、α-縮水甘油氧基乙基三甲氧基矽烷、α-縮水甘油氧基乙基三乙氧基矽烷、β-縮水甘油氧基乙基三甲氧基矽烷、β-縮水甘油氧基乙基三乙氧基矽烷、α-縮水甘油氧基丙基三甲氧基矽烷、α-縮水甘油氧基丙基三乙氧基矽烷、β-縮水甘油氧基丙基三甲氧基矽烷、β-縮水甘油氧基丙基三乙氧基矽烷、γ-縮水甘油氧基丙基三甲氧基矽烷、γ-縮水甘油氧基丙基三乙氧基矽烷、γ-縮水甘油氧基丙基三丙氧基矽烷、γ-縮水甘油氧基丙基三丁氧基矽烷、γ-縮水甘油氧基丙基三苯氧基矽烷、α-縮水甘油氧基丁基三甲氧基矽烷、α-縮水甘油氧基丁基三乙氧基矽烷、β-縮水甘油氧基丁基三乙氧基矽烷、γ-縮水甘油氧基丁基三甲氧基矽烷、γ-縮水甘油氧基丁基三乙氧基矽烷、δ-縮水甘油氧基丁基三甲氧基矽烷、δ-縮水甘油氧基丁基三乙氧基矽烷、(3,4-環氧基環己基)甲基三甲氧基矽烷、(3,4-環氧基環己基)甲基三乙氧基矽烷、β-(3,4-環氧基環己基)乙基三甲氧基矽烷、β-(3,4-環氧基環己基)乙基三乙氧基矽烷、β-(3,4-環氧基環己基)乙基三丙氧基矽烷、β-(3,4-環氧基環己基)乙基三丁氧基矽烷、β-(3,4-環氧基環己基)乙基三苯氧基矽烷、γ-(3,4-環氧基環己基)丙基三甲氧基矽烷、γ-(3,4-環氧基環己基)丙基三乙氧基矽烷、δ-(3,4-環氧基環己基)丁基三甲氧基矽烷、δ-(3,4-環氧基環己基)丁基三乙氧基矽烷、縮水甘油氧基甲基甲基二甲氧基矽烷、縮水甘油氧基甲基甲基二乙氧基矽烷、α-縮水甘油氧基乙基甲基二甲氧基矽烷、α-縮水甘油氧基乙基甲基二乙氧基矽烷、β-縮水甘油氧基乙基甲基二甲氧基矽烷、β-縮水甘油氧基乙基乙基二甲氧基矽烷、α-縮水甘油氧基丙基甲基二甲氧基矽烷、α-縮水甘油氧基丙基甲基二乙氧基矽烷、β-縮水甘油氧基丙基甲基二甲氧基矽烷、β-縮水甘油氧基丙基乙基二甲氧基矽烷、γ-縮水甘油氧基丙基甲基二甲氧基矽烷、γ-縮水甘油氧基丙基甲基二乙氧基矽烷、γ-縮水甘油氧基丙基甲基二丙氧基矽烷、γ-縮水甘油氧基丙基甲基二丁氧基矽烷、γ-縮水甘油氧基丙基甲基二苯氧基矽烷、γ-縮水甘油氧基丙基乙基二甲氧基矽烷、γ-縮水甘油氧基丙基乙基二乙氧基矽烷、γ-縮水甘油氧基丙基乙烯基二甲氧基矽烷、γ-縮水甘油氧基丙基乙烯基二乙氧基矽烷以及苯基磺醯基胺基丙基三乙氧基矽烷(phenylsulfonylaminopropyltriethoxysilane)。
前驅物(IV)的具體實例是乙烯基三甲氧基矽烷、乙烯基三氯矽烷、乙烯基三乙醯氧基矽烷、乙烯基三乙氧基矽烷、甲基乙烯基二甲氧基矽烷、甲基乙烯基二乙氧基矽烷、二甲基乙烯基甲氧基矽烷、烯丙基三甲氧基矽烷、烯丙基三氯矽烷、烯丙基三乙醯氧基矽烷、烯丙基三乙氧基矽烷、烯丙基甲基二甲氧基矽烷、烯丙基甲基二乙氧基矽烷、3-丁烯基三甲氧基矽烷、苯乙烯基乙基三乙氧基矽烷、苯乙烯基乙基三甲氧基矽烷、γ-甲基丙烯醯氧基丙基三甲氧基矽烷、γ-甲基丙烯醯氧基丙基甲基二甲氧基矽烷、γ-甲基丙烯醯氧基丙基甲基二乙氧基矽烷、(甲基丙烯醯氧基甲基)甲基二乙氧基矽烷、(甲基丙烯醯氧基甲基)甲基二甲氧基矽烷、甲基丙烯醯氧基甲基三乙氧基矽烷、甲基丙烯醯氧基甲基三甲氧基矽烷、甲基丙烯醯氧基丙基三氯矽烷、甲基丙烯醯氧基丙基三乙氧基矽烷、甲基丙烯醯氧基丙基三異丙氧基矽烷、3-丙烯醯胺基丙基三甲氧基矽烷(3-acrylamidopropyltrimethoxysilane)、丙烯醯氧基甲基三甲氧基矽烷、3-丙烯醯氧基丙基三甲氧基矽烷、3-丙烯醯氧基丙基甲基二甲氧基矽烷、3-丙烯醯氧基丙基甲基二乙氧基矽烷、3-丙烯醯氧基丙基二甲基甲氧基矽烷、3-丙烯醯氧基丙基二甲基乙氧基矽烷、丙烯醯胺基丙基三乙氧基矽烷、丙烯醯胺基丙基三氯矽烷、丙烯醯氧基甲基(苯基乙基)三甲氧基矽烷、甲基丙烯醯胺基丙基三乙氧基矽烷、甲基丙烯醯胺基丙基三氯矽烷、[2-(3-環己烯基)乙基]三甲氧基矽烷、[2-(3-環己烯基)乙基]三乙氧基矽烷、[2-(3-環己烯基)乙基]三氯矽烷、[2-(3-環己烯基)乙基]甲基二甲氧基矽烷、[2-(3-環己烯基)乙基]甲基二氯矽烷、(5-雙環[2.2.1]庚-2-烯基)三乙氧基矽烷、(5-雙環[2.2.1]庚-2-烯基)三甲氧基矽烷、(5-雙環[2.2.1]庚-2-烯基)甲基二乙氧基矽烷、(5-雙環[2.2.1]庚-2-烯基)乙基二乙氧基矽烷、(5-雙環[2.2.1]庚-2-烯基)二甲基甲氧基矽烷、(5-雙環[2.2.1]庚-2-烯基)三氯矽烷、(5-雙環[2.2.1]庚-2-烯基)甲基二氯矽烷、(5-雙環[2.2.1]庚-2-烯基)二甲基氯矽烷。
前驅物(V)的具體實例是氯甲基三甲氧基矽烷、氯甲基甲基二氯矽烷、氯甲基甲基二甲氧基矽烷、氯甲基三氯矽烷、氯甲基三乙氧基矽烷、2-氯乙基甲基二甲氧基矽烷、2-氯乙基甲基二氯矽烷、2-氯乙基三甲氧基矽烷、2-氯乙基三氯矽烷、2-氯乙基甲基二甲氧基矽烷、2-氯乙基甲基二氯矽烷、3-氯丙基三甲氧基矽烷、3-氯丙基三乙氧基矽烷、3-氯丙基三乙醯氧基矽烷、氯甲基三甲氧基矽烷、氯甲基三乙氧基矽烷、3-氯丙基甲基二甲氧基矽烷、3-氯丙基甲基二乙氧基矽烷、雙(氯甲基)二氯矽烷、雙(氯甲基)甲基氯矽烷、雙(氯甲基)二甲氧基矽烷、雙(氯甲基)甲基甲氧基矽烷、雙(氯甲基)二乙氧基矽烷、雙(氯甲基)甲基乙氧基矽烷、雙(3-氯丙基)二氯矽烷、氯苯基甲基二甲氧基矽烷、氯苯基三甲氧基矽烷、氯苯基三乙氧基矽烷、氯苯基三氯矽烷、((氯甲基)苯基乙基)甲基二甲氧基矽烷、((氯甲基)苯基乙基)三甲氧基矽烷以及其中氯基被等效溴或碘化合物置換的類似基團。除上述之外的具體實例:4-溴丁基三甲氧基矽烷、4-溴丁基三氯矽烷、2-溴乙基三甲氧基矽烷、5-溴戊基三甲氧基矽烷、溴苯基三甲氧基矽烷。前驅物(V)的實例還包含直鏈、分支鏈、環狀以及芳族和烷基芳烴化合物,其中多個氫原子被鹵素原子置換。這些前驅物的具體實例包含三氟丙基三甲氧基矽烷、三氟丙基甲基二甲氧基矽烷、三氟丙基三乙氧基矽烷、九氟己基三甲氧基矽烷(nonafluorohexyltrimethoxysilane)、九氟己基甲基二甲氧基矽烷、1,2-二溴乙基三甲氧基矽烷、1,2-二溴乙基三氯矽烷、五氟苯基三甲氧基矽烷、五氟苯基三乙氧基矽烷、五氟苯基三氯矽烷、雙(五氟苯基)二甲氧基矽烷、4-溴-3,3,4,4-四氟丁基三甲氧基矽烷。
前驅物(VI)的具體實例是3,3,3-三氟丙基三甲氧基矽烷、4-乙醯氧基苯基乙基三甲氧基矽烷、4-乙醯氧基苯基乙基三乙氧基矽烷、4-(乙醯氧基苯基乙基)甲基二氯矽烷、4-(乙醯氧基苯基乙基)甲基二甲氧基矽烷、4-(乙醯氧基苯基乙基)甲基二乙氧基矽烷、2-(甲氧羰基)乙基三甲氧基矽烷(2-(carbomethoxy)ethyltrimethoxysilane)、2-(甲氧羰基)乙基甲基二甲氧基矽烷、2-(甲氧羰基)乙基三氯矽烷、2-(甲氧羰基)乙基甲基二氯矽烷、三乙氧基矽烷基丙基胺基甲酸酯(triethoxysilylpropylcarbamate)、三乙氧基矽烷基丙基順丁烯二酸(triethoxysilylpropylmaleamic acid)、N-(3-三乙氧基矽烷基丙基)-4-羥基丁醯胺(N-(3-triethoxysilylpropyl)-4-hydroxybutyramide)、N-(3-三乙氧基矽烷基丙基)葡糖醯胺(N-(3-triethoxysilylpropyl)gluconamide)、(3-三乙氧基矽烷基)丙基丁二酸酐((3-triethoxysilyl)propylsuccinic anhydride)、脲基丙基三乙氧基矽烷(ureidopropyltriethoxysilane)、脲基丙基三甲氧基矽烷、3-羥基-3,3-雙(三氟甲基)丙基三乙氧基矽烷、4-(甲氧基甲氧基)三甲氧基矽烷基苯以及6-(甲氧基甲氧基)-2-(三甲氧基矽烷基)萘。
根據一實施例,水解和聚合是在完全無溶劑的情況下進行的,或其在有機溶劑中進行,例如在醇、酯、酮以及醚中進行。具體合適的溶劑是丙酮、乙基甲基酮、甲醇、乙醇、異丙醇、丁醇、乙酸甲酯、乙酸乙酯、乙酸丙酯、乙酸丁酯以及四氫呋喃。確切地說,合適的溶劑是酮和醚,確切地說其中存在在水解期間通過與矽原子配位的某些穩定效果。這類實例是乙基甲基酮、甲基四氫糠醚(methyl tetrahydrofurfuryl ether)以及乙基四氫糠醚。
通過以介於0.0001 M到1 M範圍內的莫耳比添加酸或鹼溶液來獲得單體的受控水解(controlled hydrolysis)。有機或無機酸可用於合成中。可使用無機酸,例如硝酸、硫酸、氫氯酸、氫碘酸、氫溴酸、氫氟酸、硼酸、過氯酸、碳酸以及磷酸。優選地,硝酸或氫氯酸由於其低沸點而使用,其使得產物的純化簡單化。在其它選項中,可使用各種有機酸替代無機酸。有機酸是羧酸、磺酸、醇、硫醇、烯醇以及酚基團。實例是甲磺酸、乙酸、乙磺酸、甲苯磺酸、甲酸或草酸。用於合成的鹼可類似地是無機或有機的。典型的無機鹼和金屬氫氧化物、碳酸鹽(carbonate)、碳酸氫鹽(bicarbonate)以及產生鹼性水溶液的其它鹽。這類材料的實例是氫氧化鈉、氫氧化鉀、氫氧化銫、氫氧化鈣、碳酸鈉以及碳酸氫鈉。另一方面,有機鹼包括由以下組成的較大基團:有機酸的金屬鹽(例如醋酸鈉、乙酸鉀、丙烯酸鈉、甲基丙烯酸鈉、苯甲酸鈉);直鏈分支鏈或環狀烷基胺(例如二胺基乙烷、腐胺(purtescine)、屍胺(cadaverine)、三乙胺、丁胺、二丁胺、三丁胺、呱啶(piperidine));脒(amidine)和胍(guanidine)(例如8-二氮雜雙環(5.4.0)十一-7-烯、1,1,3,3-四甲基胍、1,5,7-三氮雜雙環[4.4.0]-癸-5-烯);磷腈(phosphazanes)(例如P1 -t-Bu、P2 -t-Bu、P4 -t-Bu);以及季銨化合物(例如四甲基銨氫氧化物、四乙基銨氫氧化物、四丁基銨氫氧化物)。
水解和縮合過程期間的反應混合物的溫度可在-30℃與170℃之間變化。所屬領域的技術人員瞭解較低反應溫度提供對反應的改良控制但花費較長反應時間,而過高溫度可使得過程過快而難以充分控制。因此,0℃到100℃溫度下1小時到48小時的反應時間是優選的。2小時到24小時的反應時間是甚至更優選的。使用適當條件,根據本發明的方法在有機溶劑系統中產生部分地交聯的任選地含碳-碳不飽和鍵的有機矽氧烷聚合物,所述聚合物具有相對於聚苯乙烯標準測量的約5,000克/莫耳到100,000克/莫耳,確切地說,約1,000克/莫耳到50,000克/莫耳的重量平均分子量。
本發明的另一實施例是進行水解和聚合的溶劑在聚合後改變為即使在一些穩定形式下仍提供材料更佳塗布性能和產物存儲特性的溶劑。這類穩定有機溶劑系統通過有機醚任選地與其它一或多種共溶劑混合形成。有機醚是通常包括4個到26個碳原子和任選地其它官能團(例如羥基)的直鏈、分支鏈或環狀醚。確切地說,合適的實例是任選地在環上帶有取代基的五元和六元環醚,以及醚,例如(C1-20)烷二醇(C1-6)烷基醚。所述烷二醇烷基醚的實例是丙二醇單甲醚、丙二醇二甲醚、丙二醇正丁基醚、二丙二醇單甲醚、二丙二醇二甲醚、二丙二醇正丁基醚、三丙二醇單甲醚以及其混合物。本發明的醚的特別優選實例是甲基四氫糠基醚、四氫糠醇、丙二醇正丙基醚、二丙二醇二甲醚、丙二醇甲醚、丙二醇乙基醚以及其混合物。穩定溶劑系統由以下組成:包括僅這一類醚或這類醚與水解的典型反應介質的混合物的溶劑,或其它溶劑,例如丙二醇單甲醚乙酸酯。在這種情況下,醚的比例是溶劑的總量的約10重量%到90重量%,確切地說,約20重量%到80重量%。
由選定溶劑和樹脂材料組成的輻射敏感調配物的固體含量在0.1%到不超過50%的範圍內。最優選地,在0.5%到10%的範圍內。固體含量(或聚合物含量)用於在塗布過程期間調節所得膜厚度。為改良關於塗層均一性的塗層性能,不同表面活性劑,例如矽酮或氟表面活性劑可用於降低含矽烷醇的聚氫倍半矽氧烷調配物塗層的表面張力。在需要的情況下,這類表面活性劑的用途可改良塗層品質。按含矽烷醇的聚氫倍半矽氧烷量的品質計,表面活性劑的量在0.001品質%到不超過10品質%的範圍內。
已發現相較於常規HSQ樹脂,將碳-碳不飽和鍵部分(作為前驅物III)引入聚氫倍半矽氧烷樹脂中極大地有助於塗層的圖案形成能力。在EUV或例如電子束的類似照射方法期間,H*基團可能由SiH形成。所形成的基團經歷引起膜交聯的轉換。由於這一轉換,經曝光區域失去其對顯影劑水溶液的溶解度。含不飽和鍵的前驅物的使用提供替代交連線制且這有助於聚氫倍半矽氧烷樹脂的交聯。不飽和鍵可與由SiH形成的基團反應。
圖4繪示含碳-碳不飽和鍵的聚氫倍半矽氧烷的EUV圖案化機制的實施例,其中展現甲基丙烯酸脂類化合物的聚合。
一般來說,材料中的碳-碳不飽和鍵的存在改良聚合物的交聯且因此提高敏感性。
含有碳-碳不飽和鍵的塗層展現隨官能團的增大量變化的增大的敏感性。因此,相較於在聚合物結構中無這些碳-碳不飽和鍵的聚氫倍半矽氧烷,含碳-碳不飽和鍵的聚氫倍半矽氧烷在EUV光刻中展現更高敏感性。效果已展現於增大量的含碳-碳不飽和鍵的官能性有機矽前驅物的實例中,所述實例顯示增大的敏感性,即減小了圖案化樹脂的劑量。因此,可調節官能性聚氫倍半矽氧烷樹脂組成物以優化光刻圖案化製程的結果。
在一實施例中,應用樹脂組成物中的1莫耳%到30莫耳%,例如2莫耳%到20%的量的含碳-碳不飽和鍵的有機矽前驅物。此類添加將增大敏感性。
含碳-碳不飽和鍵的官能性有機矽前驅物的效果通過電子束光刻展現且圖案的掃描電子顯微鏡(scanning electron microscope;SEM)圖像呈現於圖5中。
實例1到實例2以及比較例1概述具有1.3%固體含量的樹脂組成物,其用於澆築塗層,利用EUV進行照射且最後利用2.38% TMAH進行顯影以獲得圖案化圖像。
如圖5中所見,已獲得22奈米的半間距(half pitch)的高解析度SEM圖像。當2.5%和5% 3-甲基丙烯醯氧基丙基三甲氧基矽烷(3-methacryloxypropyltrimethoxysilane;MAPTMOS)單體用於合成時,獲得圖案的所需劑量在實例1減小33%和在實例2減小42%。通過選擇含碳-碳不飽和鍵的不同官能性有機矽前驅物,敏感性可進一步提高,且當使用丙烯酸酯類前驅物替代甲基丙烯酸脂類前驅物(圖8)時,實例5獲得圖案的所需劑量比比較例2少9%。通過使用含有降冰片烯部分的官能性有機矽前驅物也發現類似結果(實例6和實例7)。這使用EUV進一步證實(圖9)。
含碳-碳不飽和鍵的其它有機矽前驅物可用於根據本發明的實施例中。
還發現聚氫倍半矽氧烷樹脂組成物中的含有鹵素原子的官能性有機矽前驅物的使用可產生類似效果,其中經塗布樹脂對照射展現更高敏感性,其引起施加於經塗布樹脂的光刻圖案化的曝光步驟中的減小劑量(實例4)。
此外,已發現含碳-碳不飽和鍵的官能性有機矽前驅物連同單體以及含鹵素原子的官能性有機矽前驅物的組合使用促進對照射的增大敏感性,其引起減小的劑量需求,如實例3中所論述。同樣參考圖6和圖7。
非官能性聚氫倍半矽氧烷樹脂或傳統HSQ樹脂在圖案化線或其它形狀之間可展現浮渣(scumming)或殘餘物。在過度曝光的情況下,這些殘餘物發展到線橋接,即所需圖案化線通過非所需‘橋’連接。因此,需要減少橋接的方法,優選地使得劑量範圍盡可能的寬泛以准許較大製程窗(process window)。
可通過將另一官能性有機矽前驅物添加到聚氫倍半矽氧烷樹脂組成物來減少線之間的浮渣或殘餘物。這類官能性有機矽前驅物增強樹脂到顯影劑中的溶解度。這已通過實例10和實例11展現(圖11)。
出人意料發現聚氫倍半矽氧烷樹脂組成物中的官能性有機矽前驅物不僅減少所形成圖案中的殘餘物,且還增大用於樹脂的製程窗。
鑒於固化機制主要通過基團反應機制進行,因此還可通過在官能性聚氫倍半矽氧烷樹脂調配物中添加基團清除劑(scavenger)來解決浮渣或橋接。通過添加通常已知基團抑制劑,我們發現可減少殘餘物(實例12和實例13,如圖12所示)。
本發明含有與有機矽前驅物的水解溶液的穩定有關的又一個發現。在存在酸或鹼催化劑的情況下,多官能有機矽前驅物的水解引發水解和縮合過程,其最終引起交聯聚合物網路。一般來說,這一過程描述為溶膠-凝膠(sol-gel)材料。當在催化劑存在下,有機矽前驅物溶液本身或作為具有有機溶劑的混合物的一部分引入到水中時,引發水解和後續縮合過程。最初,前驅物水解且縮合,形成‘溶膠’,即可溶於溶劑或溶劑組合中的材料。隨著縮合反應繼續進行,多官能前驅物將產生不再可溶的交聯網路。當存在呈溶劑形式的稀釋劑時,這類狀態通常被稱作‘凝膠’。
鑒於最優用於本發明的多種化合物是多官能有機矽前驅物,因此當材料以其‘溶膠’狀態存儲時,提供降低縮合反應的速率的方式非常重要。已發現添加有機酸出乎意料地將引起穩定效果。可通過GPC(gel permeation chromatography)來監測這一穩定效果。令我們驚訝的是將有機酸或二酸添加到聚氫倍半矽氧烷樹脂溶液已顯著改良這些材料的穩定性(實例12和實例13)。
在某些實施例中,本發明涉及本文中描述的組成物在半導體基底上形成圖案的用途,如圖1和圖2中所示出。
因此,在本種類製程中,通常基底表面10塗布有有機底層12,繼之以塗布且固化無機中間層14,所述無機中間層14通常由含高矽材料組成。在這一結構頂部上,塗布光致抗蝕劑16。在使用193奈米波長照射30後,形成圖案並顯影。這種層組合以‘三層’堆疊形式頻繁地描述於文獻中,參看層的數目。
後續圖案轉移蝕刻製程可應用來將形成於光致抗蝕劑上的圖案轉移到基底(圖1)。值得注意的是,用於光刻的各種層的堆疊可高於3。
另一層組合是四層堆疊,其中首先塗覆有機底層12,繼之以塗布且固化無機中間層14,所述無機中間層14通常由含高矽材料組成。在這一結構的頂部上,在完成四層堆疊的光致抗蝕劑16之前塗覆有機底部抗反射層18(圖2)。
在本種類的光致抗蝕劑圖案化中,所有所描述底層不僅可塗布且固化,且還可通過氣相沉積製程,例如化學氣相沉積(chemical vapor deposition;CVD)、原子層沉積(atomic layer deposition;ALD)以及類似方法塗覆。
相同程式可應用於13.5奈米下的EUVL。然而,由於吸收率挑戰、光酸和光子照射兩者的隨機分佈,用於所述製程的通常使用的CAR面對重大挑戰,確切地說,與LER和LWR(line width roughness)有關的挑戰。因此,大量關注已投向承諾堆疊簡化的無機光致抗蝕劑的使用,其中層的數目減少且LER和LWR挑戰降低。在大多數情況下,無機抗蝕劑由以下組成:呈可容易水解形式的一或多種化合物的金屬氧化物或水解的金屬氧化物前驅物以及氫倍半矽氧烷。這些無機抗蝕劑的益處已為:金屬氧化物抗蝕劑產生空前的EUVL劑量敏感性,同時氫倍半矽氧烷聚合物提供極佳解析度以及具有極差EUVL敏感性的低LER/LWR。因此,兩個方法具有呈現其在EUVL圖案化製程中使用的挑戰性的屬性。本發明使用含碳-碳不飽和鍵和/或鹵素的矽氧烷作為實現堆疊簡化以及減少用於圖案化製程的金屬的優選方法。
參考圖3中繪示的實施例。在那個實施例中,在EUV中,基底20在碳或非晶碳層上塗布有呈螺旋形式的主要有機塗層22。根據本發明的薄可圖案化膜22是基於含碳-碳不飽和鍵和/或鹵素和/或溶解度增強子的聚氫倍半矽氧烷樹脂24形成。這一塗層可相較於文獻中的HSQ樹脂而容易地通過EUVL以高敏感性圖案化且相較於文獻中描述的CAR和無機樹脂而顯著降低LER/LWR。確切地說,本發明提供在不存在金屬的情況下具有雙層結構的高解析度圖案化的方式,其可以是產生完全官能性電晶體管芯而無顯著產出損耗的顯著優點。
含矽材料對於EUV具有相對低的吸收率。另一方面,含鹵素抗蝕劑可改良對EUV的EUV吸收率且因此改良圖案化結果。
通常呈非水溶劑形式的所需含碳-碳不飽和鍵和溶解度增強子的聚氫倍半矽氧烷溶液提供高解析度圖案化,其中溶液對於形成具有良好輻射敏感性的輻射可圖案化塗層具有高穩定性程度。由含碳-碳不飽和鍵和溶解度增強子的聚氫倍半矽氧烷溶液形成的塗層的所需特徵為形成圖案化氧化矽塗層提供優異的直接圖案化。在所關注實施例中,暴露於輻射將經照射塗層材料轉化為對用顯影劑組成物去除具有抵抗性以使得可選擇性地去除的材料。因此,可負型地圖案化塗層。選擇性去除塗布材料的至少一部分可留下塗層區域已去除以暴露下伏基底的圖案。在照射後的塗層顯影後,圖案化的氧化矽材料可用於促進處理具有極佳圖案解析度的裝置形成。塗層材料可設計成對選定輻射(例如極紫外光、紫外光和/或電子束)敏感。
此外,前驅物溶液可調配成在適合的存放期內是穩定的以用於商業配送。在本上下文中,確切地說,術語「穩定的」表示當在5℃到30℃下,確切地說在室溫下,優選地在原始緊緊地密封容器中存儲時將具有至少7天,確切地說至少14天,例如21天至多48個月,通常6個月到24個月的存放期的組成物。
輻射敏感塗層材料可用作負型輻射圖案化塗層。在負型圖案化中,暴露於輻射將經照射塗層材料轉化為相對於未照射塗層材料的對利用顯影劑組成物去除更具有抵抗性的材料。選擇性地去除塗層材料的至少一部分留下區域已去除以暴露下伏基底的圖案。
形成集成電子裝置及其類似物通常涉及材料的圖案化以在結構內形成個別元件或元件。這種圖案化可涉及覆蓋彼此豎直和/或水準地介接的堆疊層的選定部分以誘發所需官能性的不同組成物。
各種材料可包括半導體,所述半導體可具有選定的摻雜物、介電質、電導體和/或其它類型的材料。
為形成高解析度圖案,輻射敏感有機組成物可用於引入圖案,且由於組成物的部分被處理以對顯影/蝕刻具有抵抗性使得選擇性材料去除可用於引入選定的圖案,因此組成物可稱為抗蝕劑。
利用選定圖案或圖案負型的輻射可用於曝光抗蝕劑且用於形成具有顯影劑抵抗性區域和顯影劑可溶區域的圖案或潛像(latent image)。本文中描述的含輻射敏感金屬和矽烷醇的聚氫倍半矽氧烷組成物可用於在裝置內直接形成所需的無機材料結構和/或作為有機抗蝕劑的替代物的輻射可圖案化無機抗蝕劑。在任一情況下,可採用顯著處理改良,且還可改良圖案化材料的結構。
在一實施例中,抗蝕劑膜暴露於紫外光或確切地說極紫外波長範圍內的光,例如1奈米到200奈米範圍內的光,確切地說約13.5奈米(92 eV)的光。
在一實施例中,用於抗蝕劑膜的組成物不含光起始劑。
基於本發明中呈現的實例,顯而易見存在含溶解度增強子的化合物有助於溶解非曝光區域或防止非曝光區域上的反應,且因此改良解析度和LER/LWR。類似地,基團抑制劑或抗氧化添加劑防止形成於曝光區域中的基團非所需地轉移到非曝光區域。因此,可實現較低LER/LWR。
某些實施例包括在用於製造積體電路的表面20上沉積富含碳的底層22。通過氣相製程(例如CVD)或通過旋塗來沉積底層並固化。隨後塗覆如本文所述的組成物24,產生可通過例如EUVL的新穎圖案化技術直接圖案化的主要富含矽和金屬的氧化物塗層。
在通過旋塗或用於液體的其它合適的沉積方法塗覆後,應用預烘烤步驟以去除包含在塗層材料中的溶劑和揮發性化合物。這對於在製造設備中避免污染是必需的。可在不同溫度下進行預烘烤且時間取決於溶劑和揮發性組分的沸騰溫度。通常,可在60℃到120℃下進行預烘烤持續30秒到不超過30分鐘的時間段。
在乾燥或預烘烤步驟後,通過任選地使用的遮罩使塗層暴露於EUV光、電子束或類似曝光方法(26)用於在基底上產生圖案。產生圖案所需的劑量是最小10毫焦/平方公分且最大200毫焦/平方公分。
如前所述,在本發明技術的實施例中,由於包含工業應用中的合理輸貫量和解決RLS權衡當中的各種原因,因此較低劑量是優選的。
在EUV曝光後,任選地進行曝光後烘烤。這一步驟可改良曝光區域的交聯,其將引起抗蝕劑的更高對比度。曝光後烘烤可在80℃到不超過150℃的溫度下進行持續介於30秒到不超過30分鐘範圍內的時間。
進行顯影以去除未曝光區域,因此獲得設計圖案。在顯影步驟期間,抗蝕劑24的非曝光區域溶解於顯影劑中。
可有效地使用常用於基於具有0.1%到25%的不同品質比的鹼(例如四甲基銨氫氧化物、氫氧化鉀、氫氧化鈉)的工業中的鹼水溶液顯影劑。通常通過使用噴灑或搗拌顯影劑溶液方法以應用顯影劑的已知方法來進行顯影。替代地,可將基底浸沒在由顯影劑組成的浴液中。
可任選地進行使曝光且顯影的圖案緻密的固化步驟,以獲得另一交聯材料或通過材料展現一定回焊的製程來改變抗蝕劑圖案的形狀。這一步驟可產生相較於在無固化步驟情況下製備的材料的抗蝕刻性的更高抗蝕刻性材料。可在120℃到400℃下進行固化持續2分鐘到不超過30分鐘。可通過加熱放置在加熱板上或烘箱中的塗層來進行固化。
實施例由以下表示。
官能性聚氫倍半矽氧烷抗蝕劑組成物,確切地說,用於極紫外或電子束光刻,包括至少50莫耳%的含有Si-H的第一矽烷氧基重複單元和至多30莫耳%的具有不飽和烴基(hydrocarbyl radical)的第三矽烷氧基重複單元,其中第一矽烷氧基重複單元由三烷氧基矽烷單體衍生且第三矽烷氧基重複單元由3-(烷基)丙烯醯氧基烷基三烷氧基矽烷單體衍生,第一單元與第三單元的莫耳比是99:1到85:15。
官能性聚氫倍半矽氧烷抗蝕劑組成物,確切地說,用於極紫外或電子束光刻,包括至少50莫耳%的含有Si-H的第一矽烷氧基重複單元和至多30莫耳%的具有不飽和烴基的第三矽烷氧基重複單元,其中第一矽烷氧基重複單元由三烷氧基矽烷單體衍生且第三矽烷氧基重複單元由降冰片烯三烷氧基矽烷單體衍生,第一單元與第三單元的莫耳比是99:1到85:15。
在以上實施例中,烷氧基和烷基優選地由含有1個到6個,確切地說1個到4個碳原子的低碳、直鏈或分支鏈烷氧基和烷基衍生。
以下非限制性實例示出了實施例。
實例
方法
在配備有串聯連接的昭和(Shodex)KF柱(KF-G;KF-803L;KF-802;KF-801)的安捷倫(Agilent)1260無限LC上收集凝膠滲透色譜法資料。檢測器和柱溫度保持在40℃。THF洗脫劑的流速是1.0毫升/分鐘。
在維斯泰克(Vistec)工具上進行電子束光刻。調配物是1.3%固體含量。通過旋塗器以1500轉/分鐘(rpm)的旋塗速度歷時30秒將調配物旋塗在矽晶圓上。隨後在80℃的溫度下在加熱板上進行軟烘烤,持續1分鐘。電子束劑量在100千伏和1納安(nA)的電流下從100微庫/平方公分(µC/cm2 )到900微庫/平方公分變化。通過將膜浸沒在2.38% TMAH溶液中持續1分鐘來進行顯影步驟。隨後利用去離子水將膜反復地洗滌三次且最後利用氮氣乾燥。
EUV光刻:通過旋塗器以1500轉/分鐘的旋塗速度歷時30秒將1.3%固體含量的聚合物調配物旋塗在矽晶圓上。隨後在80℃的溫度下在加熱板上進行軟烘烤,持續1分鐘。在軟烘烤後,利用瑞士的菲利根的瑞士保羅謝勒研究所(Paul Scherrer Institute, Villigen, Switzerland)處的XIL-II EUV工具照射膜樣本。劑量從2毫焦/平方公分到150毫焦/平方公分變化。通過將膜浸沒在2.38% TMAH溶液中持續1分鐘來進行顯影步驟。隨後利用去離子水將膜反復地洗滌三次且最後利用氮氣乾燥。
實例 1
以HTEOS:MAPTMOS = 97.5:2.5莫耳比製備聚合物溶液
在一個燒瓶中,使100克三乙氧基矽烷(HTEOS)和3.88克3-甲基丙烯醯氧基丙基三甲氧基矽烷(MAPTMOS)單體的混合物溶解於193.02克甲基四氫糠醚(tetrahydrofurfurylether;MeOTHF)中。HTEOS:MAPTMOS莫耳比是97.5:2.5。將48.26克MeOTHF、21.55克去離子水(deionized water;DIW)以及9.88克0.01 M硝酸的混合物混合且在1.5小時內分成三個相等部分添加到上述單體溶液中。在完成添加後,持續反應以另外混合17小時。進行蒸發以獲得15%到25%固體含量。添加聚合物重量四倍的甲基乙基酮(methyl ethyl ketone;MEK)。利用0.2微米過濾器過濾所獲得聚合物溶液。凝膠滲透色譜法資料顯示Mw是3945、Mn是2047且多分散性(polydispersity)是1.93。
實例 2
以HTEOS:MAPTMOS = 95:5莫耳比製備聚合物溶液
在一個燒瓶中,使100克三乙氧基矽烷(HTEOS)及7.96克3-甲基丙烯醯氧基丙基三甲氧基矽烷(MAPTMOS)單體的混合物溶解於193.02克甲基四氫糠醚(MeOTHF)中。HTEOS:MAPTMOS莫耳比是95:5。將48.28克MeOTHF、22.12克去離子水(DIW)以及10.14克0.01 M硝酸的混合物混合且在1.5小時內分成三個相等部分添加到上述單體溶液中。在完成添加後,持續反應以另外混合17小時。進行蒸發以獲得15%到25%的固體含量。添加聚合物重量四倍的甲基乙基酮(MEK)。利用0.2微米過濾器過濾所獲得聚合物溶液。凝膠滲透色譜法資料顯示Mw是3052、Mn是1690且多分散性是1.81。
比較例 1
利用100%三乙氧基矽烷(HTEOS)類聚合物作為參考物製備聚合物溶液
在一個燒瓶中,使100克三乙氧基矽烷(HTEOS)的混合物溶解於193.02克甲基四氫糠醚(MeOTHF)中。將48.26克MeOTHF、21.04克去離子水(DIW)以及9.63克0.01 M硝酸的混合物混合且在1.5小時內分成三個相等部分添加到上述單體溶液中。在完成添加後,持續反應以另外混合17小時。進行蒸發以獲得15%到25%固體含量。添加聚合物重量四倍的甲基乙基酮(MEK)。利用0.2微米過濾器過濾所獲得聚合物溶液。凝膠滲透色譜法資料顯示Mw是3311、Mn是1669且多分散性是1.98。
測試聚合物
通過旋塗利用1500轉/分鐘的旋塗速度歷時30秒來由1.3%固體聚合物溶液製備膜樣本。利用80℃溫度進行軟烘烤,持續1分鐘。2.38% TMAH溶液用作電子束光刻中的顯影劑。
評估結果 . 實例1和實例2中的掃描電子顯微鏡圖像使用電子束光刻展現高解析度圖案化(分別在圖5中的b)和c))。實例1和實例2顯示相較於比較例1中製備的材料的敏感性的明顯改良。相較於比較例1,獲得圖案的所需劑量在實例1中減少33%且在實例2中減少42%。
實例 3
以HTEOS:MAPTMOS:Cl-TEOS = 90:5:5莫耳比製備聚合物溶液
在一個燒瓶中,使100克三乙氧基矽烷(HTEOS)、8.40克3-甲基丙烯醯氧基丙基三甲氧基矽烷(MAPTMOS)以及8.14克3-氯丙基三乙氧基矽烷(Cl-TEOS)單體(HTEOS:MAPTMOS:Cl-TEOS莫耳比是90:5:5)的混合物溶解於214.47克甲基四氫糠醚(MeOTHF)中。將53.60克MeOTHF、23.35克去離子水(DIW)以及10.73克0.01 M硝酸的混合物混合且在1.5小時內分成三個相等部分添加到上述單體溶液中。在完成添加後,持續反應以另外混合17小時。進行蒸發以獲得15%到25%的固體含量。添加聚合物重量四倍的甲基乙基酮(MEK)。利用0.2微米過濾器過濾所獲得聚合物溶液。凝膠滲透色譜法資料顯示Mw是2630、Mn是1500且多分散性是1.75。
測試聚合物
通過旋塗利用1500轉/分鐘的旋塗速度歷時30秒來由1.3%固體聚合物溶液製備膜樣本。利用80℃溫度進行軟烘烤,持續1分鐘。2.38% TMAH溶液用作電子束光刻中的顯影劑。
評估結果 . 實例3中的掃描電子顯微鏡圖像使用電子束光刻展現高解析度圖案化(圖6的c))。實例3中製備的抗蝕劑顯示相較於實例1到實例2的改良敏感性,且比較例1中的參考樣本顯示相較於比較例1中製備的材料的明顯改良的敏感性。相較於比較例1,獲得圖案的所需劑量在實例2中減少42%且在實例3中減少70%。
實例 4
以HTEOS:Cl-TEOS = 95:5莫耳比製備聚合物溶液
在一個燒瓶中,使50克三乙氧基矽烷(HTEOS)和3.86克3-氯丙基三乙氧基矽烷(Cl-TEOS)單體的混合物(HTEOS:Cl-TEOS的莫耳比是95:5)溶解於101.59克甲基四氫糠醚(MeOTHF)中。將25.39克MeOTHF、11.06克去離子水(DIW)以及5.07克0.01 M硝酸的混合物混合且在1.5小時內分成三個相等部分添加到上述單體溶液中。在完成添加後,持續反應以另外混合17小時。進行蒸發以獲得15%到25%的固體含量。添加聚合物重量四倍的甲基乙基酮(MEK)。利用0.2微米過濾器過濾所獲得聚合物溶液。凝膠滲透色譜法資料顯示Mw是2130、Mn是1224且多分散性是1.74。
測試聚合物
通過旋塗利用1500轉/分鐘的旋塗速度歷時30秒來由1.3%固體聚合物溶液製備膜樣本。利用80℃溫度進行軟烘烤,持續1分鐘。2.38% TMAH溶液用作電子束光刻中的顯影劑。
評估結果 . 實例4中的掃描電子顯微鏡圖像使用電子束光刻展現高解析度圖案化(圖7的b))。實例4中製備的抗蝕劑顯示相較於實例1到實例2的改良敏感性,且比較例1中的參考樣本顯示相較於比較例1中製備的材料的明顯改良的敏感性。相較於比較例1,獲得圖案的所需劑量在實例4中減少70%。
實例 5
以HTEOS:APTMOS = 90:10莫耳比製備聚合物溶液
在一個燒瓶中,使25克三乙氧基矽烷(HTEOS)和3.96克3-丙烯醯氧基丙基三甲氧基矽烷(APTMOS)單體的混合物(HTEOS:APTMOS的莫耳比是90:10)溶解於60.08克甲基四氫糠醚(MeOTHF)中。將15.02克MeOTHF、5.84克去離子水(DIW)以及2.67克0.01 M硝酸的混合物混合且在1.5小時內分成三個相等部分添加到上述單體溶液中。在完成添加後,持續反應以另外混合17小時。進行蒸發以獲得15%到25%的固體含量。添加聚合物重量四倍的甲基乙基酮(MEK)。利用0.2微米過濾器過濾所獲得聚合物溶液。凝膠滲透色譜法資料顯示Mw是2302、Mn是1409且多分散性是1.63。
比較例 2
以HTEOS:MAPTMOS = 90:10莫耳比製備聚合物溶液
在一個燒瓶中,使25克三乙氧基矽烷(HTEOS)和4.2克3-甲基丙烯醯氧基丙基三甲氧基矽烷(MAPTMOS)單體的混合物溶解於60.08克甲基四氫糠醚(MeOTHF)中。HTEOS:MAPTMOS莫耳比是90:10。將15.02克MeOTHF、5.84克去離子水(DIW)以及2.67克0.01 M硝酸的混合物混合且在1.5小時內分成三個相等部分添加到上述單體溶液中。在完成添加後,持續反應以另外混合17小時。進行蒸發以獲得15%到25%固體含量。添加聚合物重量四倍的甲基乙基酮(MEK)。利用0.2微米過濾器過濾所獲得聚合物溶液。凝膠滲透色譜法資料顯示Mw是2281、Mn是1396且多分散性是1.63。
聚合物測試
通過利用1500轉/分鐘的旋塗速度歷時30秒旋塗1.3%聚合物溶液來製備膜樣本。隨後利用80℃溫度進行軟烘烤,持續1分鐘。2.38% TMAH溶液用作電子束光刻中的顯影劑。
評估結果 . 實例5和比較例2展示丙烯酸酯官能基產生相較於甲基丙烯酸脂官能化聚合物抗蝕劑的敏感性的更高敏感性。(圖8中的電子束的SEM圖像)。可通過丙烯酸酯基團的較小位阻且因此相較於甲基丙烯酸脂基團的活化能的更高活化能來解釋更高敏感性。獲得實例5的圖案的所需劑量比比較例2少9%。
實例 6
以HTEOS:NorTEOS = 95:5莫耳比製備聚合物溶液
在一個燒瓶中,使30克三乙氧基矽烷(HTEOS)和2.46克降冰片烯三乙氧基矽烷(NorTEOS)單體的混合物(HTEOS:NorTEOS的莫耳比是95:5)溶解於60.95克甲基四氫糠醚(MeOTHF)中。將15.24克MeOTHF、6.64克去離子水(DIW)以及3.04克0.01 M硝酸的混合物混合且在1.5小時內分成三個相等部分添加到上述單體溶液中。在完成添加後,持續反應以另外混合17小時。進行蒸發以獲得15%到25%的固體含量。添加聚合物重量四倍的甲基乙基酮(MEK)。利用0.2微米過濾器過濾所獲得聚合物溶液。凝膠滲透色譜法資料顯示Mw是2960、Mn是1424且多分散性是2.08。
實例 7
以HTEOS:NorTEOS = 90:10莫耳比製備聚合物溶液
在一個燒瓶中,使10克三乙氧基矽烷(HTEOS)和1.73克降冰片烯三乙氧基矽烷(NorTEOS)單體的混合物(HTEOS:NorTEOS的莫耳比是90:10)溶解於24.03克甲基四氫糠醚(MeOTHF)中。將6.01克MeOTHF、2.34克去離子水(DIW)以及1.07克0.01 M硝酸的混合物混合且在1.5小時內分成三個相等部分添加到上述單體溶液中。在完成添加後,持續反應以另外混合17小時。進行蒸發以獲得15%到25%的固體含量。添加聚合物重量四倍的甲基乙基酮(MEK)。利用0.2微米過濾器過濾所獲得聚合物溶液。凝膠滲透色譜法資料顯示Mw是3332、Mn是1475且多分散性是2.26。
聚合物測試
通過利用1500轉/分鐘的旋塗速度歷時30秒旋塗1.3%聚合物溶液來製備膜樣本。隨後利用80℃溫度進行軟烘烤,持續1分鐘。2.38% TMAH溶液用作EUV光刻中的顯影劑。
評估 EUV 結果 . 實例6到實例7以及比較例1展現將降冰片烯官能基併入聚合物抗蝕劑中的有益效果。添加僅極少重量百分比可清晰地消除浮渣問題並改良LER(圖9中的SEM圖像)。圖9示出了通過使用a)展現LER=3.87奈米的比較例1、b)展現LER=2.86奈米的實例6以及c)展現LER=2.77奈米的實例7中的材料的EUVL獲得的22奈米半間距圖案化線的電子束結果;其中分別對應於a、b以及c的所施加EUV劑量是77.5毫焦/平方公分(mJ/cm2 )、97.5毫焦/平方公分以及82.5毫焦/平方公分。
實例 8
利用1% MA製備聚合物溶液
添加實例1中製備的聚合物溶液以及1重量%(wt%)順丁烯二酸(maleic acid;MA)。可用丙二醇單甲醚乙酸酯(propylene glycol monomethyl ether acetate;PGMEA)溶劑進一步稀釋所獲得混合物以獲得所需厚度調配物。利用0.2微米過濾器過濾最終調配物。
實例 9
利用2% MA製備聚合物溶液
添加實例1中製備的聚合物溶液以及2重量%(wt%)順丁烯二酸。可用丙二醇單甲醚乙酸酯(PGMEA)溶劑進一步稀釋所獲得混合物以獲得所需厚度調配物。利用0.2微米過濾器過濾最終調配物。
聚合物測試
通過利用1500轉/分鐘的旋塗速度歷時30秒旋塗1.3%聚合物溶液來製備膜樣本。隨後利用80℃溫度進行軟烘烤,持續1分鐘。2.38% TMAH溶液用作電子束光刻中的顯影劑。
評估結果 . 實例8到實例9以及比較例1展現在聚合物抗蝕劑中添加順丁烯二酸的有益效果。圖10中的電子束結果顯示在1%和2% MA的情況下聚合物穩定。比較例1、實例8以及實例9的電子束結果顯示在相同劑量下的類似性能。
實例 10
利用HTEOS:HFIPTEOS = 99:1莫耳比製備聚合物溶液
在一個燒瓶中,使40克三乙氧基矽烷(HTEOS)和0.92克六氟異丙基三乙氧基矽烷(HFIPTEOS)單體的混合物(HTEOS:HFIPTEOS的莫耳比是99:1)溶解於77.99克甲基四氫糠醚(MeOTHF)中。將19.50克MeOTHF、8.50克去離子水(DIW)以及3.89克0.01 M硝酸的混合物混合且在1.5小時內分成三個相等部分添加到上述單體溶液中。在完成添加後,持續反應以另外混合17小時。進行蒸發以獲得15%到25%的固體含量。添加聚合物重量四倍的甲基乙基酮(MEK)。利用0.2微米過濾器過濾所獲得聚合物溶液。凝膠滲透色譜法資料顯示Mw是4612、Mn是1558且多分散性是2.96。
實例 11
利用HTEOS:HFIPTEOS = 98:2莫耳比製備聚合物溶液
在一個燒瓶中,使40克三乙氧基矽烷(HTEOS)和1.85克六氟異丙基三乙氧基矽烷(HFIPTEOS)單體的混合物(HTEOS:HFIPTEOS的莫耳比是99:1)溶解於78.78克甲基四氫糠醚(MeOTHF)中。將19.70克MeOTHF、8.59克去離子水(DIW)以及3.93克0.01 M硝酸的混合物混合且在1.5小時內分成三個相等部分添加到上述單體溶液中。在完成添加後,持續反應以另外混合17小時。進行蒸發以獲得15%到25%的固體含量。添加聚合物重量四倍的甲基乙基酮(MEK)。利用0.2微米過濾器過濾所獲得聚合物溶液。凝膠滲透色譜法資料顯示Mw是3762、Mn是1247且多分散性是3.02。
測試聚合物
通過旋塗利用1500轉/分鐘的旋塗速度歷時30秒來由1.3%固體聚合物溶液製備膜樣本。利用80℃溫度進行軟烘烤,持續1分鐘。2.38% TMAH溶液用作EUV光刻中的顯影劑。
評估結果 . 相較於比較例1中製備的聚合物樣本的浮渣問題,實例11中製備的抗蝕劑顯示明顯更小浮渣問題(圖11中的SEM圖像)。圖11示出了通過使用a)展現LER=3.87奈米的比較例1、b)展現LER=2.80奈米的實例10以及c)展現LER=3.05奈米的實例11中的材料的EUVL獲得的22奈米半間距圖案化線的電子束結果;其中分別對應於a、b以及c的所施加EUV劑量是77.5毫焦/平方公分、87.5毫焦/平方公分以及107.5毫焦/平方公分。
實例 12
添加比較例1中製備的聚合物溶液以及1重量%(wt%)順丁烯二酸和0.75重量%季戊四醇四(3-(3,5-二第三丁基-4-羥苯基)丙酸鹽)(pentaerythritol tetrakis(3-(3,5-di-tert-butyl-4-hydroxyphenyl)propionate))(I1010)抑制劑。可用丙二醇單甲醚乙酸酯(PGMEA)溶劑進一步稀釋所獲得混合物以獲得所需厚度調配物。利用0.2微米過濾器過濾最終調配物。
實例 13
添加比較例1中製備的聚合物溶液以及1重量%(wt%)順丁烯二酸和1.25重量%季戊四醇四(3-(3,5-二第三丁基-4-羥苯基)丙酸鹽)(I1010)抑制劑。可用丙二醇單甲醚乙酸酯(PGMEA)溶劑進一步稀釋所獲得混合物以獲得所需厚度調配物。利用0.2微米過濾器過濾最終調配物。
測試聚合物
通過利用1500轉/分鐘的旋塗速度旋塗歷時30秒由1.3%固體聚合物溶液製備實例12到實例13以及比較例1中的聚合物的膜樣本。利用80℃溫度進行軟烘烤,持續1分鐘。2.38% TMAH溶液用作EUV光刻中的顯影劑。
評估結果 . EUV結果顯示添加少量I1010相較於比較例1改良浮渣問題且因此提供更佳LER(圖12)。圖12示出了通過使用a)展現LER = 3.87奈米的比較例1、b)展現LER=4.96奈米的實例12以及c)展現LER=3.00奈米的實例13中的材料的EUVL獲得繪示22奈米半間距圖案化線的電子束結果;其中分別對應於a、b以及c的所施加EUV劑量是77.5毫焦/平方公分、87.5毫焦/平方公分以及90毫焦/平方公分。
工業適用性
一般來說,本發明技術可用於半導體工業中。舉例來說,本發明技術可用於確切地說使用光刻(lithographic)製程,例如光刻(photolithographic)製程來實現微電子裝置中的複雜電路。實施例包括含碳-碳不飽和鍵、鹵素以及溶解度增強子成分的聚氫倍半矽氧烷樹脂。調節碳-碳不飽和鍵、鹵素以及溶解度增強子成分將使得有可能獲得用於應用於極紫外光刻中的高度敏感塗層。
縮寫 CAR 化學放大型抗蝕劑 EBL  電子束光刻 EUV 極紫外 EUVL    極紫外光刻 GPC 凝膠滲透色譜法 HSQ 氫倍半矽氧烷 LER  線邊緣粗糙度 LWR 線寬粗糙度 NIL 奈米印刷光刻 PBL  光子束光刻 SEM 掃描電子顯微鏡 TMAH   四甲基銨氫氧化物
參考列表 非專利文獻 1.    奧克羅安延武,U.(Okoroanyanwu,U.)光刻之分子理論(Molecular Theory of Lithography.)(SPIE出版社(SPIE Press),2015)。 2.    甘耐克,A. S.(Gangnaik, A. S.),喬治,Y. M.(Georgiev, Y. M. )以及霍姆斯, J. D.(Holmes, J. D.)新一代電子束抗蝕劑:綜述(New Generation Electron Beam Resists: A Review.)《材料化學(Chem. Mater.)》29, 1898-1917(2017)。 3.    西蒙尼,D.德(Simone, D. De)等人,EUV光刻材料的發展和挑戰(Progresses and Challenges of EUV Lithography Materials.)《光聚合科學與技術雜誌(J. Photopolym. Sci. Technol.)》27, 601-610(2014)。 4.    希金斯,C. D.(Higgins, C. D.)等人,用於極紫外光刻的較高光酸產生抗蝕劑的溶解度、線邊緣粗糙度、敏感性取捨以及量子產率( Resolution, line-edge roughness, sensitivity tradeoff, and quantum yield of high photo acid generator resists for extreme ultraviolet lithography.)《日本應用物理學雜誌(Jpn. J. Appl. Phys.)》50, 1-8(2011)。 5.    德西蒙,D.(De Simone, D.),韋斯特,Y.(Vesters, Y.)以及范登伯格,G.(Vandenberghe, G.),極紫外光刻(EUVL)中的光刻膠( Photoresists in extreme ultraviolet lithography (EUVL).)《先進光學技術 (Adv. Opt. Technol.)》6, 163-172(2017)。 6.    渡邊武夫(Takeo Watanabe.),EUV光刻的當前狀態和前景(Current status and prospect for EUV lithography.)2017年第7屆積體電路發展及驗證國際會議(2017 7th Int. Conf. Integr. Circuit, Des. Verif.)3-8(2017)。 7.    格裡戈雷斯庫, A. E.(Grigorescu, A. E.)和哈根, C. W.( Hagen, C. W.),聚焦於HSQ的電子束光刻的抗蝕劑:最新進展( Resists for sub-20-nm electron beam lithography with a focus on HSQ: State of the art.)《奈米科技(Nanotechnology)》20, 292001 (2009)。 8.    楊, J. K. W.(Yang, J. K. W.)等人,對用於低於5奈米半間距光刻的氫倍半矽氧烷電子抗蝕劑的理解(Understanding of hydrogen silsesquioxane electron resist for sub-5-nm-half-pitch lithography.)《真空科學與技術雜誌,B輯:微電子學與奈米結構(J. Vac. Sci. Technol. B Microelectron. Nanom. Struct.)》27, 2622(2009)。
10:基底表面 12:有機底層 14:無機中間層 16:光致抗蝕劑 18:有機底部抗反射層 30:照射 20:基底/表面 22:有機塗層/薄可圖案化膜/底層 24:聚氫倍半矽氧烷樹脂/組成物/抗蝕劑 26:曝光方法
圖1以示意圖方式繪示三層光刻堆疊的組合件的側視圖。 圖2以示意圖方式繪示四層光刻堆疊的組合件的側視圖。 圖3繪示利用根據一實施例的含碳-碳雙鍵的聚氫倍半矽氧烷樹脂進行圖案化的側視圖。 圖4示意性地繪示一實施例的含碳-碳雙鍵的聚氫倍半矽氧烷的EUV交連線制。 圖5繪示在a)比較例1、b)實例1以及c)實例2中獲得的電子束處理結果的SEM圖像。 圖6繪示在a)比較例1、b)實例2以及c)實例3中獲得的電子束處理結果的SEM圖像。 圖7繪示在a)比較例1和b)實例4中獲得的電子束處理結果的SEM圖像。 圖8繪示在a)實例5和b)比較例2中獲得的電子束處理結果的SEM圖像。 圖9是繪示通過使用a)比較例1、b)實例6以及c)實例7中的材料的EUVL獲得的22奈米半間距圖案化線的電子束結果的SEM圖像。 圖10繪示a)比較例1、b)實例8以及c)實例9中獲得的電子束處理結果的SEM圖像。 圖11是繪示通過使用a)比較例1、b)實例10以及c)實例11中的材料的EUVL獲得的22奈米半間距圖案化線的電子束結果的SEM圖像。 圖12是繪示通過使用a)比較例1、b)實例10以及c)實例13中的材料的EUVL獲得的22奈米半間距圖案化線的電子束結果的SEM圖像。
20:基底/表面
22:有機塗層/薄可圖案化膜/底層
24:聚氫倍半矽氧烷樹脂/組成物/抗蝕劑
26:曝光方法

Claims (38)

  1. 一種用於極紫外或電子束光刻的官能性聚氫倍半矽氧烷樹脂組成物,包括至少50莫耳%的含Si-H的矽烷氧基重複單元和最多30莫耳%的具有不飽和烴基的矽烷氧基重複單元。
  2. 如請求項1所述的用於極紫外或電子束光刻的官能性聚氫倍半矽氧烷樹脂組成物,基於所述官能性聚氫倍半矽氧烷樹脂組成物的總重量的重量%,所述官能性聚氫倍半矽氧烷樹脂組成物具有大於35重量%的矽含量。
  3. 如請求項1或請求項2所述的用於極紫外或電子束光刻的官能性聚氫倍半矽氧烷樹脂組成物,包括含至少部分地交聯的有機矽氧烷聚合物的溶劑,所述有機矽氧烷聚合物具有相對於聚苯乙烯標準所測量的500克/莫耳到100,000克/莫耳。
  4. 如請求項1或請求項2所述的用於極紫外或電子束光刻的官能性聚氫倍半矽氧烷樹脂組成物,包括酸、潛伏酸、鹼、潛伏鹼、潛伏催化劑或其組合。
  5. 如請求項1或請求項2所述的用於極紫外或電子束光刻的官能性聚氫倍半矽氧烷樹脂組成物,其中液相通過用於官能性聚氫倍半矽氧烷樹脂的至少一種有機溶劑形成或所述至少一種有機溶劑與水混合形成。
  6. 如請求項1或請求項2所述的用於極紫外或電子束光刻的官能性聚氫倍半矽氧烷樹脂組成物,包括通過使二官能或三官能矽烷與其它含前驅物的可水解矽一起經歷水解/縮合反應獲得的官能性聚氫倍半矽氧烷樹脂溶液,所述可水解矽是官能性聚氫倍半矽氧烷。
  7. 如請求項6所述的用於極紫外或電子束光刻的官能性聚氫倍半矽氧烷樹脂組成物,其中所述二官能或三官能矽烷含有有機基團,所述有機基團含有一或多個鹵素原子。
  8. 如請求項6所述的用於極紫外或電子束光刻的官能性聚氫倍半矽氧烷樹脂組成物,其中所述二官能或三官能矽烷含有有機基團,所述有機基團帶有使得有機矽氧烷聚合物更可溶於鹼性顯影劑溶液中的官能性。
  9. 如請求項1或請求項2所述的用於極紫外或電子束光刻的官能性聚氫倍半矽氧烷樹脂組成物,包括含有SiO部分、沿矽氧烷聚合物分佈的多個反應性位點以及第一SiH部分的矽氧烷聚合物、含不飽和烴基的第二有機矽部分以及獨立地選自非官能性且含鹵素的烴基的中間矽烷氧基(SiO)部分,其中所述矽氧烷聚合物具有500克/莫耳到50,000克/莫耳的分子量。
  10. 如請求項1或請求項2所述的用於極紫外或電子束光刻的官能性聚氫倍半矽氧烷樹脂組成物,包括具有通式(I)的官能性聚氫倍半矽氧烷樹脂,
    Figure 03_image001
    (I) 其中 A、B、C、D以及E各自表示獨立地選自1到1000的整數; X代表鹵素原子; Z代表增強對鹼性顯影劑的溶解度的官能基; R1 代表氫; R6 代表含不飽和部分的烴基;以及 R2 到R5 以及R7 到R10 各自獨立地代表氫或烴基。
  11. 如請求項1或請求項2所述的用於極紫外或電子束光刻的官能性聚氫倍半矽氧烷樹脂組成物,其中組成物適合於產生澆築於基底上的塗層調配物,且其中通過直接照射或使用遮罩來圖案化所述基底上的塗層。
  12. 一種產生如請求項1到請求項11中任一項所述的官能性聚氫倍半矽氧烷樹脂組成物的方法,所述官能性聚氫倍半矽氧烷樹脂組成物含有至少SiH部分和共價結合到Si的不飽和烴基。
  13. 如請求項12所述的方法,包括: 水解具有附接到矽上的至少兩個可水解基團的第一單體含氫矽化合物以及 第二單體矽化合物,具有至少一個烴基或不具有烴基以及附接到所述第二單體矽化合物的矽原子上的至少一個可水解基團,或所述第二單體矽化合物連同以下中的至少一個: 第三單體矽化合物,具有至少一個官能基和附接到所述第三單體矽化合物的矽原子上以形成矽氧烷材料的至少一個可水解基團,其中所述官能基是不飽和的,以及 第四單體矽化合物,具有至少一個官能基和附接到所述第四單體矽化合物的矽原子上以形成矽氧烷材料的至少一個可水解基團,其中所述官能基含有鹵素原子,以及 第五單體矽化合物,具有至少一個官能基和附接到所述第五單體矽化合物的矽原子上以形成矽氧烷材料的至少一個可水解基團,其中所述官能基增強對顯影劑的溶解,且在溶劑系統中,將所述矽氧烷材料調配成組成物。
  14. 如請求項12或請求項13所述的方法,其中以50莫耳%到100莫耳%使用第一單體含氫矽化合物,以0莫耳%到20莫耳%使用第二單體矽化合物,以0.1莫耳%到20莫耳%使用第三單體矽化合物,且以0莫耳%到20莫耳%使用第四單體矽化合物。
  15. 如請求項12或請求項13所述的方法,包括水解具有通式II的第一矽化合物 R1 a -Si-R2 b (II) 其中 a是1或2的整數, b是2或3的整數, R1 表示氫原子,以及 R2 表示獨立地選自羥基、烷氧基、醯氧基以及鹵素的可水解基團。
  16. 如請求項15所述的方法,包括通過水解具有所述通式II的第一矽化合物以及具有通式III的第二化合物來產生共聚(有機矽氧烷),其中標誌a、b、R1 以及R2 具有與上文相同的含義, R3 c -SiR21 n -R4 d (III) 其中 R3 和R4 獨立地選自烷基、芳基、芳烷基、鹵化烷基、鹵化芳基、鹵化芳烷基、烯基、具有環氧基的有機基團、丙烯醯基、甲基丙烯醯基、巰基、烷氧基芳基、醯氧基芳基、異氰尿酸酯基、羥基、環胺基或氰基,或其組合, R21 表示烷氧基、醯氧基或鹵素基團, c是0到1的整數, n是2到4的整數,以及 d是0到2的整數, 其中c + n + d的總值未超過4。
  17. 如請求項15所述的方法,包括通過水解具有所述通式II的第一矽化合物以及具有具有通式IV的第二化合物來產生包括共聚(有機矽氧烷)的組成物,其中所述標誌a、b、R1 以及R2 具有與上文在式II中相同的含義, R5 e -SiR21 n -R6 f (IV) 其中 R5 獨立地選自烷基、芳基、芳烷基、鹵化烷基、鹵化芳基、鹵化芳烷基、烯基、具有環氧基的有機基團、巰基、烷氧基芳基、醯氧基芳基、羥基、環胺基或氰基,或其組合, R6 是含有存在於以下基團中的雙鍵或三鍵的官能基:烷基、芳基、芳烷基、鹵化烷基、鹵化芳基、鹵化芳烷基、烯基、烷氧基烷基、烷氧基芳基、醯氧基芳基或其組合, R21 是烷氧基、醯氧基或鹵素基團, e是0到1的整數, n是1到3的整數,且 f是0到2的整數, 其中e + n + f的總值是4或小於4。
  18. 如請求項15所述的方法,包括通過水解具有所述通式II的第一矽化合物以及具有通式V的第二化合物來產生包括共聚(有機矽氧烷)的組成物,其中所述標誌a、b、R1 以及R2 具有與上文在式II中相同的含義, R7 g -SiR21 n -R8 h -X            (V) 其中 X是鹵素基團, R7 獨立地選自烷基、芳基、芳烷基、鹵化烷基、鹵化芳基、鹵化芳烷基、烯基、具有環氧基的有機基團、巰基、烷氧基芳基、醯氧基芳基、羥基、環胺基或氰基,或其組合, R8 是共價結合到X和Si兩者的間隔基團且獨立地選自由以下衍生的二價基團:烷基、芳基、芳烷基、鹵化烷基、鹵化芳基、鹵化芳烷基、烯基、烷氧基芳基、醯氧基芳基或其組合, R21 是烷氧基、醯氧基或鹵素基團, g是0到1的整數, n是1到3的整數,以及 h是0到1的整數,且 其中g + n + h的總值是4或小於4。
  19. 如請求項15所述的方法,包括通過水解具有所述通式II的第一矽化合物以及具有通式VI的第二化合物來產生包括共聚(有機矽氧烷)的組成物,其中所述標誌a、b、R1 以及R2 具有與上文在式II中相同的含義, R9 i -SiR21 n -R10 j -Zy k (VI) 其中 Zy 是選自以下的基團:羥基、羧酸、巰基、胺或其鹽或促進在顯影劑水溶液中的溶解度的四級銨鹽,以及 R9 獨立地選自烷基、芳基、芳烷基、鹵化烷基、鹵化芳基、鹵化芳烷基、烯基、具有環氧基的有機基團、巰基、烷氧基芳基、醯氧基芳基、羥基、環胺基或氰基,或其組合, R10 是共價結合到Zy 和Si兩者的間隔基團且可獨立地選自由以下衍生的二價基團:烷基、芳基、芳烷基、鹵化烷基、鹵化芳基、鹵化芳烷基、烯基、烷氧基芳基、醯氧基芳基或其組合, R21 是烷氧基、醯氧基或鹵素基團, i是0到1的整數, n是1到3的整數,以及 j是0到2的整數, 其中i + n + j的總值是4或小於4,在式(VI)的結構中,j表示間隔基團R10 涵蓋的值且必須等於或大於1。
  20. 如請求項12或請求項13所述的方法,包括使用三乙氧基矽烷(HSi(OC2 H5 )3 )和含不飽和烴基的矽前驅物或在其它可水解矽烷的混合物中的三乙氧基矽烷(HSi(OC2 H5 )3 )和含不飽和烴基的矽前驅物,所述其它可水解矽烷經歷受控水解/縮合反應,以制得部分縮合的聚氫倍半矽氧烷樹脂。
  21. 如請求項12或請求項13所述的方法,向獲得的聚氫倍半矽氧烷樹脂或塗層溶液中添加基團抑制劑或抗氧化劑。
  22. 如請求項12或請求項13所述的方法,向獲得的聚氫倍半矽氧烷樹脂或塗層溶液中添加防止所述聚氫倍半矽氧烷樹脂老化的穩定劑。
  23. 如請求項22所述的方法,其中所述穩定劑選自二羧酸,包括順丁烯二酸、丙二酸以及丁二酸中的至少一個。
  24. 一種用特定波長下的光輻射來圖案化用含碳-碳不飽和鍵和含鹵素以及含溶解度增強子的聚氫倍半矽氧烷塗布的基底的方法,所述方法包括以下步驟: 沿選定圖案照射經塗布基底以形成具有照射塗層的區域和具有未照射塗層的區域的照射結構,以及 部分地顯影所述照射結構以去除大部分所述未照射塗層以形成圖案化基底。
  25. 如請求項24所述的方法,其中用紫外波長下的光輻射來照射經塗布基底。
  26. 如請求項24或請求項25所述的方法,其中用1奈米與200奈米之間的波長下的光輻射來照射用含碳-碳不飽和鍵和含鹵素以及含溶解度增強子的聚氫倍半矽氧烷塗布的基底。
  27. 如請求項26所述的方法,其中用13.5奈米或小於13.5奈米的波長下的光輻射來照射用含碳-碳不飽和鍵和含鹵素以及含溶解度增強子的聚氫倍半矽氧烷塗布的基底。
  28. 如請求項24或請求項25所述的方法,其中塗層包括: 矽氧烷聚合物,所述矽氧烷聚合物包含SiO部分、沿矽氧烷聚合物分佈的多個反應性位點以及第一SiH部分;第二中間芳族和非芳族部分;含碳-碳不飽和鍵的第三部分,所述碳-碳不飽和鍵選自甲基丙烯酸脂、丙烯酸酯、降冰片烯或其組合;含鹵素的第四部分;選自以下的第五部分:羥基、羧酸、巰基、胺或其鹽或促進在顯影劑水溶液中的溶解度的四級銨鹽,其中所述矽氧烷聚合物具有500克/莫耳到50000克/莫耳的分子量。
  29. 如請求項24或請求項25所述的方法,其中塗層包括: 具有通式(I)的聚氫倍半矽氧烷樹脂
    Figure 03_image001
    (I) 其中 A、B、C、D以及E各自表示獨立地選自1到1000的整數; X代表鹵素原子; Z代表增強對鹼性顯影劑的溶解度的官能基; R1 代表氫; R6 代表含不飽和部分的烴基; R2 到R5 以及R7 到R10 獨立地代表氫或烴基。
  30. 如請求項24或請求項25所述的方法,包括使基底圖案化,包括: 沿選定圖案照射經塗布基底以形成具有照射塗層的區域和具有未照射塗層的區域的照射結構,其中所述經塗布基底包括塗層,所述塗層具有5奈米到400奈米的平均厚度且包括在材料結構中的具有Si-H和碳-碳不飽和鍵的Si-O-Si網路; 在45℃到200℃的溫度下加熱所述照射結構0.1分鐘到30分鐘,以形成退火的照射結構;以及 部分地顯影所述退火的照射結構以去除大部分所述未照射塗層以形成圖案化基底。
  31. 如請求項24或請求項25所述的方法,其中所述照射結構具有不可溶於鹼水溶液的照射塗層和可溶於鹼水溶液的未照射塗層,使得所述照射結構可經歷負型成像。
  32. 如請求項24或請求項25所述的方法,包括使基底圖案化,所述基底包括具有表面和沿所述表面的選定區域處且沿所述表面在其它區域不存在的塗層的基底,所述塗層包括具有矽-碳鍵和矽-氫和/或具有碳-碳不飽和鍵的矽-氧網路,所述塗層可溶於有機液體中或鹼水溶液中。
  33. 如請求項24或請求項25所述的方法,其中圖案化是在不存在任何光起始劑的情況下進行。
  34. 一種形成抗蝕劑膜的方法,所述方法通過施加如請求項1到請求項11中任一項所述的官能性聚氫倍半矽氧烷樹脂組成物以在半導體基底上形成抗蝕底層膜且烘烤所述官能性聚氫倍半矽氧烷樹脂組成物。
  35. 一種產生半導體裝置的方法,包括: 將組成物施加到半導體基底上且烘烤組成物以形成一或多個抗蝕底層膜; 將如請求項1到請求項11中任一項所述的官能性聚氫倍半矽氧烷樹脂組成物作為抗蝕劑施加到一或多個抗蝕底層膜上以形成抗蝕劑膜; 使所述抗蝕劑膜暴露於光; 在曝光後,顯影所述抗蝕劑膜以形成抗蝕劑圖案; 使用所述抗蝕劑圖案來蝕刻所述抗蝕底層膜;以及 使用由此圖案化的所述抗蝕劑膜和由此圖案化的所述抗蝕底層膜來製造所述半導體基底。
  36. 一種產生半導體裝置的方法,包括: 在半導體基底上形成有機底層膜; 將用於形成抗蝕劑膜的如請求項1到請求項11中任一項所述的官能性聚氫倍半矽氧烷樹脂組成物施加到所述有機底層膜上且烘烤所述官能性聚氫倍半矽氧烷樹脂組成物以形成抗蝕劑膜; 使所述抗蝕劑膜暴露於光; 在曝光後,顯影所述抗蝕劑膜以形成抗蝕劑圖案; 使用所述抗蝕劑圖案來蝕刻所述抗蝕底層膜; 使用由此圖案化的所述抗蝕底層膜來蝕刻所述有機底層膜;以及 使用由此圖案化的所述有機底層膜來製造所述半導體基底。
  37. 如請求項35或請求項36所述的產生半導體裝置的方法,其中使所述抗蝕劑膜暴露於紫外光波長範圍內的光。
  38. 如請求項35或請求項36所述的產生半導體裝置的方法,其中如請求項1到請求項11中任一項所述的官能性聚氫倍半矽氧烷樹脂組成物不含光起始劑。
TW109106031A 2019-02-25 2020-02-25 官能性聚氫倍半矽氧烷樹脂組成物、產生其的方法及其用途 TWI842839B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
FI20195142A FI128886B (en) 2019-02-25 2019-02-25 Functional hydrogen silicon oxane polymers and their uses
FI20195142 2019-02-25

Publications (2)

Publication Number Publication Date
TW202041599A true TW202041599A (zh) 2020-11-16
TWI842839B TWI842839B (zh) 2024-05-21

Family

ID=

Also Published As

Publication number Publication date
SG11202109106QA (en) 2021-09-29
EP3931639A1 (en) 2022-01-05
US20220162391A1 (en) 2022-05-26
CN111607089A (zh) 2020-09-01
KR20210132677A (ko) 2021-11-04
CN111607089B (zh) 2023-10-10
WO2020174126A1 (en) 2020-09-03
JP2022521442A (ja) 2022-04-07
FI128886B (en) 2021-02-26
FI20195142A1 (en) 2020-08-26

Similar Documents

Publication Publication Date Title
JP5021984B2 (ja) 反射防止ハードマスク組成物
JP5632387B2 (ja) 湿式エッチング可能な反射防止膜
JP5038354B2 (ja) ケイ素含有反射防止膜形成用組成物、ケイ素含有反射防止膜形成基板及びパターン形成方法
JP5576923B2 (ja) 浸漬リソグラフィ用の組成物及びプロセス
JP2021534315A (ja) 高解像度パターニングのためのシラノール含有有機‐非有機ハイブリッドコーティング
JP5642731B2 (ja) パターン形成方法
TW201616239A (zh) 感光性樹脂組成物、硬化膜、具備硬化膜的元件及半導體裝置、以及半導體裝置的製造方法
JP2011510133A (ja) シルセスキオキサン樹脂
JP5654479B2 (ja) 切り替え可能な反射防止膜
JP6297992B2 (ja) ケイ素含有重合体、ケイ素含有化合物、レジスト下層膜形成用組成物、及びパターン形成方法
TWI460232B (zh) 倍半矽氧烷樹脂
JP2015505335A (ja) ハードマスク反射防止膜材料としてのジ−t−ブトキシジアセトキシシラン系シルセスキオキサン樹脂、及びそれを作製する方法
CN111607089B (zh) 官能性聚氢倍半硅氧烷树脂组成物、产生其的方法及其用途
TWI842839B (zh) 官能性聚氫倍半矽氧烷樹脂組成物、產生其的方法及其用途
WO2022131277A1 (ja) 樹脂組成物、硬化膜、硬化膜の製造方法、多層膜付き基板、パターン付き基板の製造方法、感光性樹脂組成物、パターン硬化膜の製造方法、重合体の製造方法及び樹脂組成物の製造方法
KR20050044501A (ko) 포토리소그래피용 무반사 코팅 및 이의 제조 방법
TW202414094A (zh) 電子器件製造方法及堆疊體
TW202414093A (zh) 電子器件製造方法及堆疊體
JPWO2022131277A5 (zh)