CN110931352B - 形成集成电路装置的方法 - Google Patents

形成集成电路装置的方法 Download PDF

Info

Publication number
CN110931352B
CN110931352B CN201910482441.2A CN201910482441A CN110931352B CN 110931352 B CN110931352 B CN 110931352B CN 201910482441 A CN201910482441 A CN 201910482441A CN 110931352 B CN110931352 B CN 110931352B
Authority
CN
China
Prior art keywords
layer
mandrels
mask
mandrel
spacers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201910482441.2A
Other languages
English (en)
Other versions
CN110931352A (zh
Inventor
潘瑞彧
曾国权
曾李全
陈盈桦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN110931352A publication Critical patent/CN110931352A/zh
Application granted granted Critical
Publication of CN110931352B publication Critical patent/CN110931352B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/063Shaping switching materials by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本揭示内容涉及集成电路装置的制造制程。提供了一种自对准双图案化方法。在该方法中,在形成间隔物于心轴旁边之后并在剥离心轴之前,执行用于线切割的微影制程,以决定线末端的位置。使用由心轴材料和间隔物材料所制成的标记,来对准用于线切割的蚀刻光罩与心轴和间隔物层。相较于先前的方法(在心轴移除之后执行线切割制程),在此公开的方法中,线末端遮罩由心轴材料和间隔物材料所制成,与仅由间隔物材料制成的标记相比更容易分辨。因此,本方法为线切割光微影和线末端遮罩的精确定位提供稳健的光学对准信号。

Description

形成集成电路装置的方法
技术领域
本揭示内容是有关于一种形成集成电路装置的方法。
背景技术
在传统的光微影技术中,通过蚀刻光罩来曝光光阻。通过曝光来改变光阻的性质,使得在随后的显影期间可以去除光阻的曝光或未曝光部分。任何光微影制程都有极限,因此存在一个临界尺寸,而低于此临界尺寸的特征太过精细而无法实现。解析度极限是减小集成电路装置尺寸的关键障碍,因此需要额外的制程步骤来形成所需的特征。自对准双图案化(Self-Aligned Double Patterning,SADP)是一种用于形成具有极精细间距的特征的技术,其所形成的间距比直接通过光微影制程可能形成的间距更精细。在自对准双图案化方案中,精确地沉积涂层于心轴的侧壁上以作为「间隔物」(其中该心轴由显影过的光阻或牺牲材料以给定的间距制成),从而在选择性心轴蚀刻之后,间隔物间距分离。自对准双图案化包括形成具有线形特征的心轴。然后使用间隔物形成制程,以在心轴特征的侧面上形成间隔物。接着,剥离心轴,使间隔物站立在遮罩层上,以将线形特征转移到遮罩层上待进一步处理。在根据间隔物图案化遮罩层之前,执行“切割”制程以界定线形特征的末端。更详细地,可以通过形成覆盖心轴的侧壁和顶表面的间隔物层,随后进行垂直蚀刻制程以去除该间隔物层的侧面部分来形成间隔物。然后,间隔物层不仅留在线形心轴特征的两侧,而且还留在心轴特征的两端。在根据间隔物来图案化遮罩层之前,需要去除位于线形特征的端部的间隔物层。图案化间隔物层的一种方法是在心轴移除之后,执行光微影制程,以选择性地从线形特征的端部移除间隔物层。用于切割制程的蚀刻光罩需要对准间隔物层,以便精确地去除间隔物层的端部。然而,当光阻(其用于切割制程)覆盖间隔物层时,间隔物层的低分辨率造成对准难以实现。
发明内容
本揭示内容的一态样是提供一种形成集成电路装置的方法,包括:提供一基板,基板具有一遮罩层位于基板之上及一牺牲层位于遮罩层之上;形成并图案化一第一保护层于牺牲层上;图案化牺牲层以形成多个心轴和位于心轴旁的一心轴标记;沿着心轴和心轴标记的周边形成间隔物,其中周边包括心轴的两侧和两端;形成一第二保护层以覆盖心轴的一第一部分和心轴标记,并暴露出心轴的一第二部分;移除心轴的暴露的第二部分及沿着心轴的第二部分的两侧和两端上的间隔物;移除心轴的第一部分,并余留沿着心轴的第一部分的两侧上的间隔物;形成位于剩余的间隔物旁的一虚设保护层于遮罩层的一虚设区域之上;以及根据剩余的间隔物和虚设保护层来图案化遮罩层,以形成一装置遮罩、一虚设遮罩、以及一遮罩层标记于基板之上。
本揭示内容的另一态样是提供一种形成集成电路装置的方法,包括:形成一遮罩层于一基板之上,及一牺牲层于遮罩层之上;图案化牺牲层,以形成多个心轴和在心轴旁的一心轴标记;沿着心轴和心轴标记的周边形成间隔物;使用心轴标记和沿着心轴标记的侧边的间隔物来执行一线切割制程,以移除心轴的一第一部分和沿着心轴的第一部分的侧边的间隔物;移除剩余的心轴;以及根据剩余的间隔物来图案化遮罩层,以形成一装置遮罩于基板之上。
本揭示内容的另一态样是提供一种形成集成电路装置的方法,包括:形成一遮罩层于一基板之上;形成多个心轴和一心轴标记于遮罩层之上;沿着心轴和心轴标记的周边形成间隔物;使用心轴标记和沿着心轴标记的侧边的间隔物作为一对准标记,来执行一光微影制程,以保护间隔物和心轴的所选部分不被移除,并移除间隔物和心轴的未受保护部分;移除剩余的心轴;以及根据剩余的间隔物来图案化遮罩层,以形成一装置遮罩于基板之上。
附图说明
当结合附图阅读时,从以下详细描述中可以更好地理解本揭露的各个方面。应注意,依据工业中的标准实务,多个特征并未按比例绘制。实际上,多个特征的尺寸可任意增大或缩小,以便使论述明晰。
图1绘示根据一些实施方式的示例集成电路装置的剖面示意图;
图2提供了根据一些实施方式的图1所示的装置的局部平面图,其中图1可沿着线A-A'截取得到;
图3~图20为一系列成对的平面图和剖面示意图,其绘示根据一些实施方式的用于制造集成电路装置(例如,图1的机体电路装置)的方法;
图21绘示根据一些实施方式的集成电路制造制程的流程图。
【符号说明】
10、20、30 蚀刻光罩
100、200 集成电路装置
102 基板
104 互连结构
106 蚀刻停止层
108 装置层
110 遮罩层
112 晶体管装置
114 第一层间介电层
116 导电通孔
118 导线
120 导电接触
122 底部电极
124 电阻切换介电质
126 顶部电极
128 第一氮化硅层
130 非晶碳层
132 第二氮化硅层
134 装置结构
136 虚设结构
138 装置级标记结构
140 源极/漏极区域
142 栅极电极
144 装置遮罩
146 虚设遮罩
148 遮罩层标记
150 第二层间介电层
300、500、700、900、1100、1300、1500、1700、1900 剖面示意图
302 牺牲层
304 第一保护层
304a 第一部分
304b 第二部分
306 心轴开口
308 标记开口
400、600、800、1000、1200、1400、1600、1800、2000 平面图
502、502a、502b 心轴
504 心轴标记
702 间隔物层
702a 间隔物
702b 间隔物
704 侧
706 端
902 第二保护层
904 线切割开口
906 不需要的部分
908、910 顶表面部分
1502 虚设保护层
1504 平面开口
2100 方法
2102~2118 动作
W1、W2、W3、W4 宽度
L1 单位线宽
具体实施方式
以下揭示内容提供许多不同实施例或实例以用于实现所提供标的物的不同的特征。下文描述组件及排列的特定实例以简化本揭露。当然,这些仅仅为实例,并不旨在限制本揭露。举例而言,在随后描述中的在第二特征之上或在第二特征上形成第一特征可包括形成直接接触的第一特征和第二特征的实施例,还可以包括在第一特征和第二特征之间形成额外特征,从而使第一特征和第二特征不直接接触的实施例。另外,本揭露在各实例中可重复元件符号及/或字母。此重复是出于简化及清楚的目的,且本身不指示所论述各实施例及/或构造之间的关系。
另外,空间相对用语,诸如“下方”、“以下”、“下部”、“上方”、“上部”及类似者,在此用于简化描述附图所示的一个元件或特征与另一元件(或多个元件)或特征(或多个特征)的关系。除附图中描绘的方向外,空间相对用语旨在包含于使用或操作中的装置的不同方向。装置可为不同的方向(旋转90度或在其他的方向),并且在此使用的空间相关描述词也可相应地被解释。
此外,为了便于描述,这里可以使用“第一”、“第二”、“第三”等,以区分一图形或一系列图形中的不同元件。“第一”、“第二”、“第三”等不旨在描述相应的元件。因此,第一图描述的“第一介电层”可以不必对应于另一图描述的“第一介电层”。
本揭示内容涉及集成电路装置制造制程。一种自对准双图案化方法被提出,而此方法可用于制造诸如记忆体单元(例如,磁阻随机存取记忆体(magnetoresistive randomaccess memory,MRAM)单元、磁性隧道接合面(magnetic tunnel junction,MTJ)结构或电阻式随机存取记忆体(resistive random access memory,RRAM)单元)的半导体装置、逻辑装置、鳍式场效晶体管(finFET)装置的鳍片等,并且还可以用于后段制程(BEOL)处理和集成电路装置制造的其他阶段。在该方法中,用于线切割的微影制程(例如,图9和图10中所示的微影制程,其将于之后描述)决定了线末端的位置,并且其是在形成间隔物层于心轴旁边之后(例如,图7和图8所示的形成间隔物层702于心轴502的旁边,其将于之后描述),并在剥离心轴之前(例如,图13和图14所示的剥离心轴502,其将于之后描述)执行。使用由心轴材料和间隔物材料制成的线末端标记来对准用于线切割的蚀刻光罩(例如,图9和图10中所示的微影遮罩20,其将于之后描述)与心轴和间隔物层。在先前的方法中,线切割制程是在移除心轴之后执行,并且线末端标记是由间隔物材料制成。相比之下,在所揭露的方法中,线末端标记是由心轴材料和间隔物材料制成,而相较于仅由间隔物材料所制成的标记,其更容易区分。因此,该方法为线切割光微影和线末端遮罩的精确定位提供稳健的光学对准信号。
图1提供了根据一些实施方式的示例集成电路装置100的剖面示意图。在图1中,晶体管装置112设置于基板102之上。基板102可以是例如块状基板(例如,块状硅基板)或绝缘体上硅(silicon-on-insulator,SOI)基板。晶体管装置112可以包括设置在基板102之上并通过栅极介电质与基板102分离的栅极电极142。一对源极/漏极区域140设置于栅极电极142旁边的基板102内。栅极电极142可以是例如掺杂的多晶硅或金属,例如氮化钛或氮化钽。栅极介电质可以是例如氧化物,例如二氧化硅。该对源极/漏极区域140可以高度掺杂。互连结构104设置在基板102之上。互连结构104包括多个导线118和导电通孔116,它们交替地彼此堆叠并由第一层间介电(ILD)层114围绕。在一些实施方式中,导线118和导电通孔116可以由例如铜所构成。例如,第一ILD层114可以包括二氧化硅。第一ILD层114也可以是例如低k介电质(即介电常数k小于二氧化硅的介电质)或极低k介电质(即介电常数k小于约2的介电质)。例如,蚀刻停止层106可包括碳化硅层。蚀刻停止层106还可以包括氮化硅、氧化硅或一层或多层复合介电膜。互连结构104电耦合到晶体管装置112的源极/漏极区域140。导电接触120触及互连结构104的导线118中的一者,并且被覆盖互连结构104的蚀刻停止层106包围。导电接触120可以是例如金属,例如铜、金或钨。第二ILD层150设置于蚀刻停止层106之上。在一些实施方式中,第二ILD层150可包括与第一ILD层114不同的材料。举例来说,在一些实施方式中,第一ILD层114可以包括具有第一介电常数的介电材料(例如低k介电层),而第二ILD层150可以包括具有第二介电常数的介电材料(例如低k介电层),该第二介电常数低于该第一介电常数。
在一些实施例中,装置层108设置于蚀刻停止层106之上,并位于第二ILD层150内。装置层108可包含由不同材料制成的多个层和结构。例如,装置层108可以包括电耦合到导电接触120的装置结构134。作为一实施例,装置层108的厚度可以在约40nm至约150nm的范围内。作为一实施例,蚀刻停止层106的厚度可以在约30nm至约80nm的范围内。装置结构134可以是电阻性记忆体单元,并且可以包括由电阻切换介电质124分开的顶部电极126和底部电极122。装置结构134的顶部电极126和底部电极122是导电材料,例如氮化钛。顶部电极126和底部电极122还可以包括例如钛、钽、氮化钽、铂、铱、钨、钌等。作为一实施例,顶部电极126的厚度可以为约10nm至约50nm,底部电极122的厚度可以为约10nm至约50nm,而电阻切换介电质124的厚度可以为约15nm至约30nm。在一些实施方式中,装置结构134为磁阻随机存取记忆体(magnetoresistive random access memory,MRAM)单元,而电阻切换介电质124可包括磁性隧道接合面(magnetic tunnel junction,MTJ)结构,其具有由隧道阻挡层隔开的底部铁磁层和顶部铁磁层。在一些其他实施方式中,装置结构134为电阻式随机存取记忆体(resistive random access memory,RRAM)单元,而电阻切换介电质124可以包括RRAM介电层。电阻切换介电质124可以是高k层(即介电常数k大于3.9的层),例如氧化钽、氧化钽铪、氧化钽铝或包括钽、氧和一种或多种其他元素的其他材料。在装置结构134的操作期间,在顶部电极126和底部电极122之间施加电压,以通过形成或断开电阻切换介电质124的一个或多个导电细丝来读取、设置或擦除记忆体单元。因此,例如,装置结构134可以在相对低或高电阻状态下具有可变电阻,以代表低或高位元状态。
装置层108还可以包括与装置结构134分离的虚设结构136。装置层108还可以包括与装置结构134和虚设结构136分离的装置级标记结构138。在一些实施方式中,虚设结构136和装置级标记结构138可以分别具有与装置结构134相同的组成的各层。装置结构134、虚设结构136、以及装置级标记结构138可以具有对齐的上表面。遮罩层110设置于装置层108之上。遮罩层110可包括设置在装置结构134上并具有与装置结构134的至少上部的侧壁垂直对齐的侧壁的装置遮罩144。遮罩层110还可以包括设置在虚设结构136上并具有与虚设结构136的侧壁垂直对齐的侧壁的虚设遮罩146。遮罩层110还可包括设置在装置级标记结构138上并具有与装置级标记结构138的侧壁垂直对齐的侧壁的遮罩层标记148。在一些实施方式中,遮罩层110可包括第一氮化硅(SiN)层128、设置在第一SiN层128之上的非晶碳(APF)层130、以及设置在APF层130之上的第二氮化硅(SiN)层132。作为一实施例,遮罩层110的厚度可以为约70nm至约350nm。第一氮化硅(SiN)层128的厚度可以为约70nm至约350nm。非晶碳(APF)层130的厚度可以为约50nm至约150nm。第二氮化硅(SiN)层132的厚度可以为约30nm至约100nm。虽然未绘示于附图中,但是顶部电极通孔可被布置为穿过遮罩层110,以将顶部电极126电连接到上部金属线或其他连接结构。顶部电极通孔可以是例如导电材料,例如铜、铝或钨。在一些替代实施方式中,可以从最终装置移除遮罩层110。
图2提供了根据一些实施方式的集成电路装置200的局部平面图。根据一些实施方式,图2还可以作为图1所示的装置100的局部平面图,其中图1可沿着线A-A'截取得到。如图2所示,集成电路装置200可以包括彼此间隔开的装置结构134、虚设结构136、以及装置级标记结构138。装置结构134可以包括线形结构,所述线形结构彼此平行并且以间隙宽度W1彼此间隔开。线形结构可以具有单位线宽L1。在一些实施方式中,间隙宽度W1可以大于单位线宽L1。虚设结构136可包括具有平面宽度W4的平面形状结构。平面宽度W4可以是单位线宽L1和间隙宽度W1的倍数之和。举例来说,如图1所示,平面宽度W4可以是单位线宽L1的四倍和间隙宽度W1的三倍之和。装置级标记结构138可以包括用于标记目标的任何适用的形状,例如具有不同距离的多条线、多边形等。在一些实施方式中,装置级标记结构138可以包括宽度基本上等于单位线宽L1的线。装置结构134可包括记忆体单元(例如,磁阻随机存取记忆体(magnetoresistive random access memory,MRAM)单元、磁性隧道接合面(magnetictunnel junction,MTJ)结构或电阻式随机存取记忆体(resistive random accessmemory,RRAM)单元)、逻辑装置、鳍式场效晶体管(finFET)装置的鳍片等。装置结构134、虚设结构136、以及装置级标记结构138可以由ILD层150分开。在一些实施方式中,装置结构134、虚设结构136、以及装置级标记结构138可具有相同的组成的各层。ILD层150可以是例如氧化物、低k或极低k介电质。
图3~图20绘示处于各个制造阶段的集成电路装置的一些实施方式的一系列剖面示意图。
如图3的剖面示意图300和图4的平面图400所示,形成并图案化第一保护层304于基板102之上的牺牲层302上。制备并提供基板102,其具有设置在其上的装置层108和设置在装置层108上的遮罩层110。遮罩层110布置在装置层108上,以在之后用于图案化装置层108。在一些实施方式中,装置层108和遮罩层110可包含由不同材料制成的多个层和结构。举例来说,类似于图1中所示的,装置层108可包括由电阻切换介电质124分开的顶部电极126和底部电极122。遮罩层110可以包括第一氮化硅(SiN)层128、设置于第一SiN层128之上的非晶碳(APF)层130、以及设置于APF层130之上的第二氮化硅(SiN)层132。在一些实施方式中,第一保护层304为光阻,并且可以通过旋涂或涂覆技术来形成,并可以使用蚀刻光罩10来图案化。第一保护层304显示为负光阻层,其中未受保护光罩所保护的第一保护层304的暴露部分变得难以溶解在光微影显影剂溶液中,而在显影之后余留在牺牲层302上。但是应当理解,相反的正光阻层也可以用作第一保护层304,在这种情况下,蚀刻光罩10具有相反的开口和遮块。在一些实施方式中,牺牲层302可以通过气相沉积技术(例如物理气相沉积、化学气相沉积、电浆增强化学气相沉积等)来形成。在一些实施方式中,牺牲层302由非晶硅、非晶碳、灰化可去除介电质(ashing removable dielectric,ARD)或其组合所制成。蚀刻光罩10具有心轴开口306和标记开口308,且心轴开口306和标记开口308分别具有宽度W1和W2。第一保护层304被图案化以形成具有目标形状的第一部分304a和具有标记形状的第二部分304b。在一些实施方式中,如图4所示,第一部分304a包括多个彼此间隔开的线。第二部分304b显示为十字形,但可以是用于标记目的的任何适用形状,例如具有不同距离的多条线、多边形等。第一部分304a的布局是说明性且非限制性的,并且出于简化的原因,仅标记出第一部分304a的一些元件。
如图5的剖面示意图500和图6的平面图600所示,牺牲层302(参见图3)被图案化。如图5所示,根据第一保护层304(第一部分304a和第二部分304b)图案化牺牲层302(参见图3),以形成多个心轴502和在心轴502的旁边的心轴标记504。还应注意,出于简化的原因,仅标记了心轴502的一些元件。在一些实施方式中,使用一系列蚀刻制程来图案化心轴502和心轴标记504,从而使得心轴502和心轴标记504的侧壁与第一保护层304的第一和第二部分304a、304b的侧壁垂直对齐。在一些实施方式中,通过第一光微影制程来同时形成多个心轴502和心轴标记504,其中第一光微影制程包括通过第一蚀刻光罩来曝光和显影第一光阻,并根据显影的第一光阻来蚀刻牺牲层302。第一蚀刻光罩包括对应于多个心轴502和心轴标记504的开口。
如图7的剖面示意图700和图8的平面图800所示,间隔物702沿着心轴502和心轴标记504的周边形成,所述周边包括心轴502的两侧704和两端706。在一些实施方式中,通过在遮罩层110的上表面上沉积介电质间隔物层来形成间隔物702,其中介电质间隔物层沿着心轴502和心轴标记504的侧壁表面延伸,并覆盖心轴502和心轴标记504的上表面。然后可以对介电质间隔物层进行非等向性蚀刻(例如垂直蚀刻)以去除介电质间隔物层的横向延伸部分,从而使间隔物702沿着心轴502和心轴标记504的侧壁设置。在一些实施方式中,间隔物702可具有共形垂直形状,并分别具有触及心轴502和心轴标记504的上表面的最高处的尖端。间隔物702可以分别具有小于心轴502的横向宽度。相对于心轴502和心轴标记504的材料,间隔物702的材料可以对蚀刻剂具有高选择性,从而可选择性地去除心轴502和心轴标记504,而间隔物702在后续制程中维持原位。介电质间隔物层可包括氮化硅、四乙基硅氧烷(tetraethyl orthosilicate,TEOS)、富硅氧化物(silicon-rich oxide,SRO)或类似的复合介电膜。在一些实施方式中,介电质间隔物层可以通过气相沉积技术(例如,物理气相沉积,化学气相沉积等)来形成。同样,出于简化的原因,仅标记间隔物702的一些元件。
如图9的剖面示意图900和图10的平面图1000所示,形成第二保护层902以覆盖部分的心轴502a、部分的间隔物702a、以及相应部分的心轴标记504,并暴露出心轴502b的两端706和不需要的部分906以及相应部分的间隔物702b。在一些实施方式中,第二保护层902是第二光阻,并可以使用第二蚀刻光罩20来图案化。在一些实施方式中,第二保护层902是正光阻层,其中未被第二蚀刻光罩20保护的第二保护层702的暴露部分变得可溶解于光微影显影剂溶液中,并在显影后被除去。蚀刻光罩20具有宽度为W3的线切割开口904。宽度W3可以大于宽度W1,例如宽度W3是宽度W1的两倍或三倍。第二保护层902界定要形成的目标的末端。在一些实施方式中,第二蚀刻光罩20通过心轴标记504与围绕心轴标记504的部分间隔物702来对准工件的特征。相比之下,作为一替代方法,可以先将心轴502a、502b与心轴标记504一起移除,以在形成和图案化第二保护层902之前余留间隔物独自立着。在这种方法中,第二蚀刻光罩20通过围绕心轴标记504的间隔物702的部分作为标记来对准(其在进行第二蚀刻光罩对准时除去)。第二保护层902覆盖工件,而由于第二保护层902和间隔物材料可能具有相似的颜色,因此由间隔物材料制成的标记难以区分。相反,心轴标记504可以具有比第二保护层更暗的颜色。因此,通过使用心轴标记504作为第二蚀刻光罩20对准的标记,增加了对比度,并且可以实现用于线切割光微影和线末端遮罩的精确定位的稳健的光学对准信号。作为一实施例,与仅使用间隔物702但不使用心轴标记504来作为标记相比,使用心轴标记504来作为标记可以改善约7%。申请人还认为,仅增加间隔物702的厚度不足以提供稳健的光学对准。例如,将间隔物702的厚度增加约25%(例如,从
Figure GDA0003497121640000111
增加到
Figure GDA0003497121640000112
),仅使对准增加0.012%。
如图11的剖面示意图1100和图12的平面图1200所示,根据第二保护层902来图案化心轴502和间隔物702。通过一个或多个蚀刻制程来去除心轴502b的暴露部分和间隔物702b的暴露部分,其包括心轴502b的两端706和不需要的部分906(见图10)以及相应部分的间隔物702b。蚀刻制程可包括干式蚀刻制程和/或湿式蚀刻制程。在一些实施方式中,心轴标记504和相应部分的间隔物702被第二保护层902所保护而未被移除。在一些实施方式中,遮罩层110的一些顶表面部分908不受第二保护层902或心轴502b的保护,而在相对的间隔物702b之间的一些其他顶表面部分910则由心轴502b保护,并且间隔物702b通过蚀刻制程改变。相比之下,通过一些其他处理方法,例如上面讨论的替代方法(在线切割图案化制程之前去除心轴502b的情况),在图案化制程中,顶表面部分910未被心轴502b覆盖,而将与顶表面部分908类似地改变。
如图13的剖面示意图1300和图14的平面图1400所示,剩下的心轴502被移除。心轴502可以通过一个或多个蚀刻制程去除,其包括干式蚀刻和/或湿式蚀刻制程。
如图15的剖面示意图1500和图16的平面图1600所示,形成虚设保护层1502于遮罩层110的平面开口1504之上的剩余间隔物702旁边。在一些实施方式中,虚设保护层1502为第三光阻,并可以使用第三蚀刻光罩30来图案化。虚设保护层1502显示为负光阻层,其中未受蚀刻光罩30所保护的虚设保护层1502的暴露部分变得难以溶解在光微影显影剂溶液中,并在显影后留在遮罩层110上。但是应当理解,相对的正光阻层也可以用作虚设保护层1502,在这种情况下,蚀刻光罩30具有相反的开口和遮块。蚀刻光罩30具有宽度为W4的平面开口1504。在一些实施方式中,宽度W4可以大于宽度W1,例如,宽度W4是宽度W1的两倍或三倍。平面开口1504的面积也可以大于图10中所示的线切割开口904的面积。
如图17的剖面示意图1700和图18的平面图1800所示,根据剩余间隔物702和虚设保护层1502来图案化遮罩层110,以形成基板102之上的装置遮罩144、虚设遮罩146、以及遮罩层标记148。
如图19的剖面示意图1900和图20的平面图2000所示,根据装置遮罩144、虚设遮罩146、以及遮罩层标记148来图案化装置层108,以形成装置结构134、虚设结构136、以及装置级标记结构138。
图21绘示了形成集成电路装置的方法2100的流程图的一些实施方式。虽然图3~图20描述了方法2100,但应当理解,方法2100不限于图3~图20公开的结构,而可独立于图3~图20公开的结构。同样的,应当理解,图3~图20公开的结构不限于方法2100,而可单独作为独立于方法2100的结构。而且,尽管所公开的方法(例如,方法2100)在下面被说明并描述为一系列动作或事件,但应当理解,这些动作或事件的顺序不应被解释为具有限制意义。例如,一些动作可以以不同的顺序发生和/或与除了这里说明和/或描述的动作或事件之外的其他动作或事件同时发生。另外,可以不需要说明所有的动作来实现本文描述的一个或多个方面或实施方式。进一步地,本文描绘的一个或多个动作可以在一个或多个单独的动作和/或阶段中执行。
在动作2102中,形成第一保护层于基板之上的牺牲层上。图3及图4绘示了对应于动作2102的剖面示意图300和平面图400的一些实施方式。
在动作2104中,图案化牺牲层以形成心轴。图5及图6绘示了对应于动作2104的剖面示意图500和平面图600的一些实施方式。
在动作2106中,沿着心轴的各侧和两端形成间隔物。图7及图8绘示了对应于动作2106的剖面示意图700和平面图800的一些实施方式。
在动作2108中,形成第二保护层以覆盖各侧,并暴露心轴和对应的间隔物的两端。心轴和标记区域处的间隔物共同作为第二蚀刻光罩对准的标记,因此可以实现用于线切割光微影的稳健的光学对准信号和线末端遮罩的精确定位。图9及图10绘示了对应于动作2108的剖面示意图900和平面图1000的一些实施方式。
在动作2110中,移除位于心轴两端的间隔物。图11及图12绘示了对应于动作2110的剖面示意图1100和平面图1200的一些实施方式。
在动作2112中,移除心轴。图13及图14绘示了对应于动作2112的剖面示意图1300和平面图1400的一些实施方式。
在动作2114中,形成虚设遮罩以覆盖遮罩层的虚设区域。图15及图16绘示了对应于动作2114的剖面示意图1500和平面图1600的一些实施方式。
在动作2116中,根据间隔物和虚设遮罩来图案化遮罩层,以形成装置遮罩和遮罩层标记。图17及图18绘示了对应于动作2116的剖面示意图1700和平面图1800的一些实施方式。
在动作2118中,根据装置遮罩和遮罩层标记来图案化装置层,以形成装置结构和装置级标记结构。图19及图20绘示了对应于动作2118的剖面示意图1900和平面图2000的一些实施方式。
应当理解,尽管在整份揭示内容中参考了本文所述方法的各方面的示例性结构,但这些方法不受所呈现的相应结构的限制。相反,方法(和结构)应被认为是彼此独立的并且能够独立地实施,而可在不考虑各图中描绘的任何特定方面的情况下实施。另外,本文所述的各层可以以任何合适的方式形成,例如通过旋涂、溅射、生长和/或沉积技术等。
此外,基于对说明书和附图的阅读和/或理解,本领域技术人员可以想到等同的改变和/或修改。本文的公开内容包括这些修改和变更,并且通常不旨在限制目的。举例来说,虽然本文提供的附图被绘示和描述为具有特定的掺杂类型,但应当理解,可以使用替代的掺杂类型,如本领域普通技术人员可理解的。
因此,如从前文可以理解的,本揭示内容提供了一种制造集成电路(IC)的方法。该方法包括提供一基板,该基板具有一遮罩层位于基板之上及一牺牲层位于遮罩层之上;以及形成并图案化一第一保护层于牺牲层上。该方法还包括图案化牺牲层以形成多个心轴和位于心轴旁的一心轴标记;以及沿着心轴和心轴标记的周边形成间隔物,其中周边包括沿着心轴的两侧和两端。该方法还包括形成一第二保护层以覆盖心轴和心轴标记的一部分,并暴露出心轴的两端及间隔物的相应部分;以及移除心轴的暴露的两端及间隔物的相应部分。该方法还包括移除剩余的心轴。该方法还包括形成位于剩余间隔物旁的一虚设保护层于遮罩层的一虚设区域之上;以及根据剩余间隔物和虚设保护层来图案化遮罩层,以形成一装置遮罩、一虚设遮罩、以及一遮罩层标记于基板之上。
在一实施例中,该方法进一步包括:在形成遮罩层之前,形成一装置层于基板之上,其中遮罩层接着形成在装置层上;以及根据装置遮罩和遮罩层标记来图案化装置层,以形成一装置结构及一装置级标记结构。
在一实施例中,心轴和心轴标记是通过一第一光微影制程所同时形成,第一光微影制程包括通过一第一蚀刻光罩来曝光和显影一第一光阻,并根据显影的第一光阻来蚀刻牺牲层,其中第一蚀刻光罩包括与心轴和心轴标记对应的开口。
在一实施例中,第二保护层是通过执行一第二光微影制程所形成,第二光微影制程包括通过一第二蚀刻光罩来曝光和显影一第二光阻,其中第二蚀刻光罩通过定位心轴标记与间隔物的相应部分来对准。
在一实施例中,形成第二保护层包括形成一正光阻层并使用一第二蚀刻光罩来图案化正光阻层。
在一实施例中,虚设保护层是通过执行一第三光微影制程所形成,第三光微影制程包括通过一第三蚀刻光罩来曝光和显影一第三光阻。
在一实施例中,第三蚀刻光罩与间隔物的剩余部分对齐。
在一实施例中,移除心轴的第一部分还包括将心轴标记与心轴的第一部分一起移除。
在一实施例中,间隔物是通过沉积一间隔物材料,然后对间隔物材料进行一非等向性蚀刻所形成。
在一实施例中,第二保护层的开口决定装置遮罩的端点。
在一实施例中,遮罩层是由一第一氮化硅层、设置在第一氮化硅层之上的一非晶碳层、以及设置在非晶碳层之上的一第二氮化硅层所制成。
在一实施例中,牺牲层是由非晶硅、非晶碳、灰化可去除介电质或其组合所制成。
在另一实施方式中,本揭示内容涉及一种制造集成电路(IC)的方法。该方法包括形成一遮罩层于一基板之上,及一牺牲层于遮罩层之上。该方法还包括图案化牺牲层,以形成多个心轴和在心轴旁的一心轴标记;以及沿着心轴和心轴标记的周边形成间隔物。该方法还包括执行一线切割制程,以移除心轴和间隔物的一部分并界定心轴的末端;以及移除剩余的心轴。该方法还包括根据剩余的间隔物来图案化遮罩层,以形成一装置遮罩于基板之上。
在一实施例中,该方法进一步包括:在图案化遮罩层之前,形成位于剩余的间隔物旁的一虚设保护层于遮罩层的一虚设区域之上,其中遮罩层是根据虚设保护层来图案化,以形成一虚设遮罩于基板之上。
在一实施例中,线切割制程包括执行一第二光微影制程,以保护间隔物和心轴的所选部分不被移除,以及移除间隔物和心轴的未受保护部分,其中第二光微影制程是通过定位心轴标记和间隔物的相应部分来对准。
在一实施例中,第二光微影制程保护心轴标记和对应的间隔物不被移除;以及其中在移除剩余的心轴时,移除心轴标记。
在一实施例中,图案化遮罩层还与装置遮罩一起,形成一遮罩层标记于基板之上。
在一实施例中,心轴具有通过一间隙而彼此间隔开的线形特征;以及其中心轴的宽度和间隙的宽度分别大于间隔物的宽度。
在又一个实施方式中,本揭示内容涉及一种制造集成电路(IC)的方法。该方法包括形成一遮罩层于一基板之上,以及形成多个心轴和一心轴标记于遮罩层之上。该方法还包括沿着心轴和心轴标记的周边形成间隔物。该方法还包括使用心轴标记和沿着心轴标记的侧边的间隔物作为一对准标记,来执行一光微影制程,以保护间隔物和心轴的所选部分不被移除,并移除间隔物和心轴的未受保护部分。该方法还包括移除剩余的心轴,以及根据剩余的间隔物来图案化遮罩层,以形成一装置遮罩于基板之上。
在一实施例中,该方法进一步包括:在图案化遮罩层之前,形成位于剩余的间隔物旁的一虚设保护层于遮罩层的一虚设区域之上,其中遮罩层是根据虚设保护层与剩余的间隔物来图案化,以形成一虚设遮罩及一装置遮罩于基板之上。
上文概述若干实施例的特征,使得熟悉此项技术者可更好地理解本揭露的态样。熟悉此项技术者应了解,可轻易使用本揭露作为设计或修改其他制程及结构的基础,以便实施本文所介绍的实施例的相同目的及/或实现相同优势。熟悉此项技术者亦应认识到,此类等效结构并未脱离本揭露的精神及范畴,且可在不脱离本揭露的精神及范畴的情况下产生本文的各种变化、替代及更改。

Claims (20)

1.一种形成集成电路装置的方法,其特征在于,包括:
提供一基板,该基板具有一遮罩层位于该基板之上及一牺牲层位于该遮罩层之上;
形成并图案化一第一保护层于该牺牲层上;
图案化该牺牲层以形成多个心轴和位于所述多个心轴旁的一心轴标记;
沿着所述多个心轴和该心轴标记的周边形成间隔物,其中该周边包括所述多个心轴的两侧和两端;
形成一第二保护层以覆盖所述多个心轴的一第一部分和该心轴标记,并暴露出所述多个心轴的一第二部分;
移除所述多个心轴的该暴露的第二部分及沿着所述多个心轴的该第二部分的两侧和两端上的间隔物;
移除所述多个心轴的该第一部分,并余留沿着所述多个心轴的该第一部分的两侧上的间隔物;
形成位于剩余的所述间隔物旁的一虚设保护层于该遮罩层的一虚设区域之上;以及
根据剩余的所述间隔物和该虚设保护层来图案化该遮罩层,以形成一装置遮罩、一虚设遮罩、以及一遮罩层标记于该基板之上。
2.根据权利要求1所述的方法,其特征在于,进一步包括:
在形成该遮罩层之前,形成一装置层于该基板之上,其中该遮罩层接着形成在该装置层上;以及
根据该装置遮罩和该遮罩层标记来图案化该装置层,以形成一装置结构及一装置级标记结构。
3.根据权利要求1所述的方法,其特征在于,其中所述多个心轴和该心轴标记是通过一第一光微影制程所同时形成,该第一光微影制程包括通过一第一蚀刻光罩来曝光和显影一第一光阻,并根据显影的该第一光阻来蚀刻该牺牲层,其中该第一蚀刻光罩包括与所述多个心轴和该心轴标记对应的开口。
4.根据权利要求3所述的方法,其特征在于,其中该第二保护层是通过执行一第二光微影制程所形成,该第二光微影制程包括通过一第二蚀刻光罩来曝光和显影一第二光阻,其中该第二蚀刻光罩通过定位该心轴标记与该间隔物的相应部分来对准。
5.根据权利要求4所述的方法,其特征在于,其中形成该第二保护层包括形成一正光阻层并使用一第二蚀刻光罩来图案化该正光阻层。
6.根据权利要求4所述的方法,其特征在于,其中该虚设保护层是通过执行一第三光微影制程所形成,该第三光微影制程包括通过一第三蚀刻光罩来曝光和显影一第三光阻。
7.根据权利要求6所述的方法,其特征在于,其中该第三蚀刻光罩与剩余的所述间隔物对齐。
8.根据权利要求1所述的方法,其特征在于,其中移除所述多个心轴的该第一部分还包括将该心轴标记与所述多个心轴的该第一部分一起移除。
9.根据权利要求1所述的方法,其特征在于,其中所述间隔物是通过沉积一间隔物材料,然后对该间隔物材料进行一非等向性蚀刻所形成。
10.根据权利要求1所述的方法,其特征在于,其中该第二保护层的开口决定该装置遮罩的端点。
11.根据权利要求1所述的方法,其特征在于,其中该遮罩层是由一第一氮化硅层、设置在该第一氮化硅层之上的一非晶碳层、以及设置在该非晶碳层之上的一第二氮化硅层所制成。
12.根据权利要求1所述的方法,其特征在于,其中该牺牲层是由非晶硅、非晶碳、灰化可去除介电质或其组合所制成。
13.一种形成集成电路装置的方法,其特征在于,包括:
形成一遮罩层于一基板之上,及一牺牲层于该遮罩层之上;
图案化该牺牲层,以形成多个心轴和在所述多个心轴旁的一心轴标记;
沿着所述多个心轴和该心轴标记的周边形成间隔物;
使用该心轴标记和沿着该心轴标记的侧边的间隔物来执行一线切割制程,以移除所述多个心轴的一第一部分和沿着所述多个心轴的第一部分的侧边的间隔物;
移除剩余的所述心轴;以及
根据剩余的所述间隔物来图案化该遮罩层,以形成一装置遮罩于该基板之上。
14.根据权利要求13所述的方法,其特征在于,进一步包括:
在图案化该遮罩层之前,形成位于剩余的所述间隔物旁的一虚设保护层于该遮罩层的一虚设区域之上,其中该遮罩层是根据该虚设保护层来图案化,以形成一虚设遮罩于该基板之上。
15.根据权利要求13所述的方法,其特征在于,其中该线切割制程包括:
执行一第二光微影制程,以保护该间隔物和所述多个心轴的所选部分不被移除,以及
移除该间隔物和所述多个心轴的未受保护部分,
其中该第二光微影制程是通过定位该心轴标记和该间隔物的相应部分来对准。
16.根据权利要求15所述的方法,其特征在于,其中该第二光微影制程保护该心轴标记和对应的该间隔物不被移除;以及在移除剩余的所述心轴时,移除该心轴标记。
17.根据权利要求16所述的方法,其特征在于,其中图案化该遮罩层还与该装置遮罩一起,形成一遮罩层标记于该基板之上。
18.根据权利要求13所述的方法,其特征在于,其中所述多个心轴具有通过一间隙而彼此间隔开的线形特征,所述多个心轴的宽度和间隙的宽度分别大于所述间隔物的宽度。
19.一种形成集成电路装置的方法,其特征在于,包括:
形成一遮罩层于一基板之上;
形成多个心轴和一心轴标记于该遮罩层之上;
沿着所述多个心轴和该心轴标记的周边形成间隔物;
使用该心轴标记和沿着该心轴标记的侧边的间隔物作为一对准标记,来执行一光微影制程,以保护该间隔物和所述多个心轴的所选部分不被移除,并移除该间隔物和所述多个心轴的未受保护部分;
移除剩余的所述心轴;以及
根据剩余的所述间隔物来图案化该遮罩层,以形成一装置遮罩于该基板之上。
20.根据权利要求19所述的方法,其特征在于,进一步包括:在图案化该遮罩层之前,形成位于剩余的所述间隔物旁的一虚设保护层于该遮罩层的一虚设区域之上,其中该遮罩层是根据该虚设保护层与剩余的所述间隔物来图案化,以形成一虚设遮罩及一装置遮罩于该基板之上。
CN201910482441.2A 2018-09-20 2019-06-04 形成集成电路装置的方法 Active CN110931352B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862733922P 2018-09-20 2018-09-20
US62/733,922 2018-09-20
US16/161,421 2018-10-16
US16/161,421 US10483119B1 (en) 2018-09-20 2018-10-16 Self-aligned double patterning (SADP) method

Publications (2)

Publication Number Publication Date
CN110931352A CN110931352A (zh) 2020-03-27
CN110931352B true CN110931352B (zh) 2022-08-05

Family

ID=68536481

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910482441.2A Active CN110931352B (zh) 2018-09-20 2019-06-04 形成集成电路装置的方法

Country Status (3)

Country Link
US (2) US10483119B1 (zh)
CN (1) CN110931352B (zh)
TW (1) TWI713149B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10483119B1 (en) * 2018-09-20 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned double patterning (SADP) method
US11476415B2 (en) * 2018-11-30 2022-10-18 International Business Machines Corporation Patterning magnetic tunnel junctions and the like while reducing detrimental resputtering of underlying features
CN113972137A (zh) * 2020-07-23 2022-01-25 泉芯集成电路制造(济南)有限公司 对准标记的制备方法和鳍式场效应晶体管的制备方法
CN112234525B (zh) * 2020-10-15 2021-11-16 广西电网有限责任公司梧州供电局 电力施工用电缆外护套剥离器
KR20220110379A (ko) 2021-01-29 2022-08-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
US11996327B2 (en) * 2021-04-22 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and methods of forming the same
KR20220154518A (ko) 2021-05-13 2022-11-22 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US20230178379A1 (en) * 2021-12-07 2023-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Film deposition for patterning process

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104658893A (zh) * 2013-11-22 2015-05-27 台湾积体电路制造股份有限公司 具有减小的间距和线间隔的集成电路及其形成方法
CN104658892A (zh) * 2013-11-25 2015-05-27 台湾积体电路制造股份有限公司 用于集成电路图案化的方法
US9236481B1 (en) * 2015-04-29 2016-01-12 Globalfoundries Inc. Semiconductor device and methods of forming fins and gates with ultraviolet curing
US9379017B1 (en) * 2015-01-12 2016-06-28 Globalfoundries Inc. Method of forming a semiconductor structure including a plurality of fins and an alignment/overlay mark
CN106057869A (zh) * 2015-04-15 2016-10-26 三星电子株式会社 半导体器件及其制造方法
CN107863324A (zh) * 2016-09-21 2018-03-30 格芯公司 在金属线的阵列的非心轴线中形成自对准切口的设备及方法
CN108122738A (zh) * 2016-11-29 2018-06-05 台湾积体电路制造股份有限公司 半导体方法和器件

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9786503B2 (en) * 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
TW201830517A (zh) * 2016-11-16 2018-08-16 日商東京威力科創股份有限公司 用於多重圖案化程序之硬遮罩過蝕刻的調節方法
US10483119B1 (en) * 2018-09-20 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned double patterning (SADP) method

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104658893A (zh) * 2013-11-22 2015-05-27 台湾积体电路制造股份有限公司 具有减小的间距和线间隔的集成电路及其形成方法
CN104658892A (zh) * 2013-11-25 2015-05-27 台湾积体电路制造股份有限公司 用于集成电路图案化的方法
US9379017B1 (en) * 2015-01-12 2016-06-28 Globalfoundries Inc. Method of forming a semiconductor structure including a plurality of fins and an alignment/overlay mark
CN106057869A (zh) * 2015-04-15 2016-10-26 三星电子株式会社 半导体器件及其制造方法
US9236481B1 (en) * 2015-04-29 2016-01-12 Globalfoundries Inc. Semiconductor device and methods of forming fins and gates with ultraviolet curing
CN107863324A (zh) * 2016-09-21 2018-03-30 格芯公司 在金属线的阵列的非心轴线中形成自对准切口的设备及方法
CN108122738A (zh) * 2016-11-29 2018-06-05 台湾积体电路制造股份有限公司 半导体方法和器件

Also Published As

Publication number Publication date
CN110931352A (zh) 2020-03-27
US10483119B1 (en) 2019-11-19
TWI713149B (zh) 2020-12-11
US10872777B2 (en) 2020-12-22
TW202013613A (zh) 2020-04-01
US20200098580A1 (en) 2020-03-26

Similar Documents

Publication Publication Date Title
CN110931352B (zh) 形成集成电路装置的方法
KR101618749B1 (ko) 반도체 소자의 패턴 형성 방법
KR100727710B1 (ko) 저항성 반도체 메모리 소자 및 그 제조 방법
KR102545872B1 (ko) 더미 게이트 없이 패터닝하는 방법
US20080070165A1 (en) Efficient pitch multiplication process
US20060017180A1 (en) Alignment of MTJ stack to conductive lines in the absence of topography
US7569401B2 (en) Magnetic random access memory cells having split subdigit lines having cladding layers thereon and methods of fabricating the same
TWI776362B (zh) 記憶體胞元及其製造方法
US11380580B2 (en) Etch stop layer for memory device formation
CN112542542A (zh) 集成芯片及其形成方法
US20210384421A1 (en) Memory structure
US20240090236A1 (en) Multi-level magnetic tunnel junction nor device with wrap-around gate electrodes and methods for forming the same
CN110391174A (zh) 制造具有含有多个沟槽的结构图案的半导体器件的方法
US11839071B2 (en) Vertical access transistors and methods for forming the same
US20230189533A1 (en) Self-aligned multilayer spacer matrix for high-density transistor arrays and methods for forming the same
US12027420B2 (en) Etch stop layer for memory device formation
TWI796876B (zh) 記憶體裝置及其製造方法
US20240030299A1 (en) Semiconductor device and manufacturing method thereof
US20230061143A1 (en) Method and structure for improved memory integrity at array boundaries
TW202303981A (zh) 垂直場效電晶體、半導體結構和形成半導體結構的方法
JP2022114528A (ja) 記憶装置及びその製造方法
TW202013692A (zh) 記憶體裝置及其製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant