CN110648974A - 光子器件和形成光子器件方法 - Google Patents

光子器件和形成光子器件方法 Download PDF

Info

Publication number
CN110648974A
CN110648974A CN201910568406.2A CN201910568406A CN110648974A CN 110648974 A CN110648974 A CN 110648974A CN 201910568406 A CN201910568406 A CN 201910568406A CN 110648974 A CN110648974 A CN 110648974A
Authority
CN
China
Prior art keywords
silicon
nitride
waveguide
forming
oxide layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201910568406.2A
Other languages
English (en)
Other versions
CN110648974B (zh
Inventor
余振华
夏兴国
丁国强
林品佐
黄松辉
侯上勇
吴集锡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN110648974A publication Critical patent/CN110648974A/zh
Application granted granted Critical
Publication of CN110648974B publication Critical patent/CN110648974B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/122Basic optical elements, e.g. light-guiding paths
    • G02B6/1228Tapered waveguides, e.g. integrated spot-size transformers
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/12004Combinations of two or more optical elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/122Basic optical elements, e.g. light-guiding paths
    • G02B6/124Geodesic lenses or integrated gratings
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/122Basic optical elements, e.g. light-guiding paths
    • G02B6/125Bends, branchings or intersections
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • G02B6/136Integrated optical circuits characterised by the manufacturing method by etching
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4274Electrical aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • H01L25/167Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits comprising optoelectronic devices, e.g. LED, photodiodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/02002Arrangements for conducting electric current to or from the device in operations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0236Special surface textures
    • H01L31/02366Special surface textures of the substrate or of a layer on the substrate, e.g. textured ITO/glass substrate or superstrate, textured polymer layer on glass substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12035Materials
    • G02B2006/12061Silicon
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12083Constructional arrangements
    • G02B2006/12107Grating
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12133Functions
    • G02B2006/12142Modulator
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/14Mode converters

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Electromagnetism (AREA)
  • Manufacturing & Machinery (AREA)
  • Optical Integrated Circuits (AREA)

Abstract

方法包括在衬底上方的第一氧化物层中形成硅波导段,第一氧化物层设置在衬底上,在第一氧化物层上方形成布线结构,该布线结构包括一个或多个绝缘层以及位于一个或多个绝缘层中的一个或多个导电部件,使布线结构的区域凹进,在布线结构的凹进区域中形成氮化物波导段,其中,氮化物波导段在硅波导段上方延伸,在氮化物波导段上方形成第二氧化物层,以及将半导体管芯附接至布线结构,管芯电连接至导电部件。本发明的实施例还涉及光子器件和形成光子器件方法。

Description

光子器件和形成光子器件方法
技术领域
本发明的实施例涉及光子器件和形成光子器件方法。
背景技术
电信号和处理是用于信号传输和处理的一种技术。近年来,光信号和处理已经在越来越多的应用中使用,特别是由于使用光纤相关的应用进行信号传输。
光信号和处理通常与电信号和处理相结合,以提供全面发展的应用。例如,光纤可以用于远程信号传输,并且电信号可以用于短程信号传输以及处理和控制。因此,形成集成光学组件和电子组件的器件,以用于光信号和电信号之间的转换,以及光信号和电信号的处理。因此,封装件可以包括包含光学器件的光学(光子)管芯和包含电子器件的电子管芯。
发明内容
本发明的一些实施例提供了一种形成光子器件的方法,包括:在衬底上方的第一氧化物层中形成硅波导段,所述第一氧化物层设置在所述衬底上;在所述第一氧化物层上方形成布线结构,所述布线结构包括一个或多个绝缘层以及位于所述一个或多个绝缘层中的一个或多个导电部件;使所述布线结构的区域凹进;在所述布线结构的凹进区域中形成氮化物波导段,其中,所述氮化物波导段在所述硅波导段上方延伸;在所述氮化物波导段上方形成第二氧化物层;以及将所述半导体管芯附接至所述布线结构,所述管芯电连接至所述导电部件。
本发明的另一实施例提供了一种形成光子器件的方法,包括:形成第一光子结构,包括:图案化第一衬底上的硅层以形成第一组波导,其中,所述硅层设置在第一氧化物层上;在所述第一组波导上方形成导电部件;以及去除所述第一衬底以暴露所述第一氧化物层;形成第二光子结构,包括:在第二衬底上沉积氮化硅层;图案化所述氮化硅层以形成第二组波导;以及在所述第二组波导上方形成第二氧化物层;以及将所述第一光子结构接合至所述第二光子结构,其中,所述第一氧化物层接合至所述第二氧化物层,其中,所述第一组波导与所述第二组波导横向对准。
本发明的又一实施例提供了一种光子器件,包括:集成光子结构,包括:多个氧化物层,位于衬底上方;多个第一波导和多个第二波导,位于所述多个氧化物层内,其中,所述多个第一波导光耦合至所述多个第二波导,其中,所述多个第一波导包括硅,并且所述多个第二波导包括氮化硅;以及布线结构,位于所述多个第一波导的第一波导的至少部分上方,所述布线结构包括多个绝缘层和位于所述多个绝缘层中的多个导电部件;以及多个半导体管芯,附接至所述布线结构,其中,所述多个半导体管芯电耦合至所述多个导电部件。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各个方面。应该指出,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1A至图1C和图2示出了根据一些实施例的光子系统的平面图和截面图。
图3A至图3C示出了根据一些实施例的混合光子系统的光学网络和光子器件的示意图。
图4A至图4C和图5示出了根据一些实施例的光子系统的平面图和截面图。
图6A至图6H示出了根据一些实施例的用于形成集成光子结构的工艺期间的中间步骤的截面图。
图7A至图7C至图10A至图10D示出了根据一些实施例的用于形成集成光子结构的混合光学网络的工艺期间的中间阶段的截面图和平面图。
图11A至图11C至图14A至图14D示出了根据一些实施例的用于形成集成光子结构的边缘耦合器的工艺期间的中间步骤的截面图。
图15A至图15C示出了根据一些实施例的用于形成第一集成光子结构的工艺期间的中间步骤的截面图。
图16A至图16G示出了根据一些实施例的用于形成第二集成光子结构的工艺期间的中间步骤的截面图。
图17至图19示出了根据一些实施例的用于形成集成光子结构的工艺期间的中间步骤的截面图。
具体实施方式
以下公开内容提供了许多用于实现本发明的不同部件的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实施例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)原件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。器件可以以其它方式定向(旋转90度或在其它方位上),而本文使用的空间相对描述符可以同样地作出相应的解释。
根据一些实施例,提供了包括光学器件和电子器件的光子系统及其形成方法。具体地,形成具有光学网络的光子系统,该光学网络包括耦合至氮化硅波导的硅波导。氮化硅波导具有比硅波导更低的信号传播损耗,并且因此用于在相对较长的距离上传输光信号。可以在波导上方形成包层,以减少光信号泄漏到衬底中。在一些实施例中,氮化硅波导形成在硅波导上方的层中。在一些实施例中,硅波导形成为第一结构,并且氮化硅波导形成为第二结构,并且然后将结构接合在一起。通过在与硅波导不同的结构中形成氮化硅波导,可以使用改进氮化硅波导的性能的技术形成氮化硅波导。根据一些实施例,示出了形成光子系统的中间阶段。讨论了一些实施例的一些变型。在各个视图和说明性实施例中,相同的参考标号用于表示相同的元件。
图1A至图1C示出了根据一些实施例的光子系统100。光子系统100可以是例如高性能计算(HPC)系统,并且包括多个位点10,每个位点10可以是单独的计算系统。图1A示出了包括多个位点10的光子系统100的平面图。图1B示出了如图1A所示的两个相邻位点10的特写图,并且图1C示出了通过如图1B所示的截面C-C’的截面图。每个位点10包括耦合至集成光子结构(IPS)20的一个或多个管芯(例如,管芯210、212、214或216,下面更详细地描述)。IPS 20可以附接至互连衬底30,如图1A至图1C所示。
每个位点10使用形成在IPS 20中的一个或多个光学网络40与其它位点10通信。形成在IPS 20中的光学网络40可以包括波导和其它光子组件。在一些实施例中,光学网络40可以包括硅(Si)波导和氮化硅(SiN)波导,诸如硅波导50或氮化物波导60,下面在图5中更详细地描述。在一些实施例中,光学网络40是连接至光子系统100的每个位点10的闭环(或环),如图1A所示。在一些实施例中,光学网络40可以不是闭环,可以具有分支段,或可以包括分离段。在实施例中,光学网络40包括以点对点方式连接位点10的多个波导。光学网络40可以在位点10之间传送光信号和/或光功率。在一些实施例中,光学网络40还可以在IPS 20和外部组件之间传送光信号和/或光功率。例如,光学网络40可以经由一根或多根光纤耦合至外部组件。
光子系统100的每个位点10均可以包括一个或多个管芯,其可以包括处理器管芯210、存储器管芯212、电子集成电路(EIC)214、光子集成电路(PIC)216等,或它们的组合。例如,图1A至图1C中所示的每个位点10均包括处理器管芯210、存储器管芯212、EIC 214和PIC216,但是位点10可以包括多于一个的每种类型的管芯或其它类型的管芯。处理器管芯210可以包括例如中央处理单元(CPU)、图形处理单元(GPU)、专用集成电路(ASIC)等。存储器管芯212可以包括例如易失性存储器、动态随机存取存储器(DRAM)、静态随机存取存储器(SRAM)等。在一些情况下,位点10可以包括还包括存储器的处理器管芯210。在这种情况下,单独的存储器管芯212可以不存在于位点10内。
在一些实施例中,EIC管芯214可以包括用于控制与位点10相关的光子器件的操作的控制电路。光子器件可以是例如形成在PIC 216中的光子器件和/或形成在IPS 20(见图6B至图6C)中的光子器件。在一些实施例中,EIC管芯214还可以包括CPU。EIC管芯214可以使用电信号与PIC 216或IPS 20的光子器件通信。在一些实施例中,EIC 214包括用于处理从光子器件接收的电信号的电路,电信号诸如从PIC 216的光电检测器或IPS 20内的光电检测器接收的电信号。例如,EIC 214可以包括控制器、跨阻放大器等。EIC 214可以通信地耦合至一个或多个处理器管芯210。在一些情况下,EIC 214根据从处理器管芯210接收的电信号(数字或模拟)来控制光子器件的高频信号。在一些实施例中。EIC 214的功能可以是处理器管芯210的一部分,或者处理器管芯210的功能可以是EIC 214的一部分,或者处理器管芯210和EIC 214可以一起组合为单个管芯。
PIC 216包括与通过光学网络40传输的光信号相互作用,控制或感测光信号的光子器件(未在图1A至图1C中独立示出)。这些光子器件可以包括诸如光调制器、光电检测器、光栅耦合器、发光二极管或激光器等或它们的组合的器件。例如,PIC 216可以包括光栅耦合器,其将来自光学网络40的光信号耦合至PIC 216内的光电检测器。另外,诸如晶体管、二极管、电容器、电阻器、金属布线等的集成电子器件也可以形成在PIC 216内,并且可以耦合至PIC 216内的光子器件。
在一些实施例中,互连衬底30可以是例如玻璃衬底、陶瓷、介电衬底、有机衬底(例如,有机芯)、半导体衬底(例如,半导体晶圆)等。互连衬底30可以通过电连接件(例如,焊料凸块等)电连接至IPS 20。互连衬底30可以包括衬底通孔(TSV)、金属化层、无源或有源器件等。在一些实施例中,可以不存在互连衬底30。通过这种方式,光子系统100可以是晶圆上系统(SoW)、晶圆上系统上芯片(CoWoS)等。在一些实施例中,互连衬底30可以是另一类型的结构,诸如集成扇出结构、再分布结构等。
转至图2,示出了根据实施例的光子系统101的部分的截面图。图2中所示的光子系统101可以类似于图1A至图1C中所示的光子系统100。例如,光子系统101包括IPS 20,其可以类似于图1A至图1C中所示的IPS 20。光子系统101包括包含一个或多个管芯的多个位点10。图2中所示的位点10包括EIC 214和PIC 216,但是光子系统101的位点10可以包括其它管芯,如上面参考图1A至图1C所述。管芯通过导电连接件220(例如,焊料凸块等)电连接至IPS 20。在一些实施例中,底部填充物322可以在管芯(例如,214/216)和IPS 20之间延伸。底部填充物322对于与光子系统101的光子组件和/或光学网络40相关联的光学波长可以是透明的或相对透明的。IPS 20通过通孔122或电连接件224(例如,焊料凸块等)电连接至互连衬底30。在一些实施例中,底部填充物226可以在IPS 20和互连衬底30之间延伸。
仍然参考图2,IPS 20包括形成在衬底104上的布线结构120。布线结构120包括一层或多层并且包括光学网络40的组件(例如,波导或如下描述的其它光子组件),并且可以包括电布线(例如,导电部件113,见图6G至图6H)或其它电子组件。电布线提供光子系统101的组件之间的电连接(例如,位点10、管芯214/216等、互连衬底30等),并且可以在组件之间传输电信号或电功率。如前所述,光学网络40允许位点10使用光信号进行通信。光学网络40可以包括在光学网络40和光纤140之间耦合光信号的一个或多个边缘耦合器90。通过这种方式,光学网络40可以通过一根或多根光纤140与外部组件通信。
仍然参考图2,光学网络40可以使用光信号与PIC 216通信。例如,光学网络40可以包括光栅耦合器152,其将光信号导向PIC 216。PIC 216可以包括耦合器352(例如,光栅耦合器),其接收来自光学网络的光信号并且将光信号耦合至PIC 216的光子组件中,诸如光电检测器354。在一些实施例中,PIC 216可以将光信号导向光学网络40的光栅耦合器152。PIC 216可以使用,例如,调制的LED或激光信号提供光信号。
在一些实施例中,布线结构120内的光学网络40可以包括由不同材料形成的波导。例如,光学网络40可以包括由硅形成的波导(硅波导50)和由氮化硅形成的波导(氮化物波导60)。通过这种方式,光学网络40可以被认为是“混合波导”网络。这里描述的混合波导网络(例如,光学网络40)的使用可以允许在位点10之间更有效地传输光信号,下面将更详细地描述。硅波导50或氮化物波导60可以是例如平板波导。
转至图3A至图3C,示出了根据一些实施例的包括硅波导50和氮化物波导60的光学网络40的示意图。图3A以平面图示出了光学网络40,并且为了清楚起见,一些部件被省略或示出为透明。图3B至图3C示出了可以与光学网络40的硅波导50集成的光子部件。在光学网络40中,在一些实施例中,硅波导50或氮化物波导60可以覆盖在包层70中,这可以减少传播损耗或漏光。包层70可以由诸如二氧化硅(SiO2)的介电材料形成,并且可以具有暴露于空气的表面(见图10A至图10D)。包层70可以不覆盖光学网络40的一些部分。例如,在光学网络40的其上方放置管芯(例如,210、212、214、216)的区域中,介电材料可以不形成为包层70。在图3A中示出了没有围绕位点10的包层70的示例性区域。图3A中示出的光学网络40是说明性实例,并且光学网络在其它实施例中可以具有不同的配置。
在一些情况下,由氮化硅形成的波导(例如,氮化物波导60)可以具有优于由Si形成的波导(例如,硅波导50)的优势。例如,氮化硅具有比硅更高的介电常数,并且因此氮化物波导60可以具有比硅波导50更大的内部光限制。这还可以允许氮化物波导60的性能或泄漏对工艺变化不敏感、对尺寸均匀性不敏感并且对表面粗糙度(例如,边缘粗糙度或线宽粗糙度)不敏感。在一些情况下,减小的工艺敏感度可以允许氮化物波导60比硅波导50更容易或更便宜地进行处理。这些特性可允许氮化物波导60具有比硅波导50更低的传播损耗。在一些情况下,氮化物波导60的传播损耗(dB/cm)可以在硅波导50的约0.1%和约50%之间。在一些情况下,氮化物波导60对环境温度的敏感度也可低于硅波导50。例如,氮化物波导60可以对温度的敏感度小至硅波导50对温度的敏感度约1%。
图3A中所示的光学网络40利用在模式转换器80处耦合在一起的氮化物波导60和硅波导50。模式转换器80允许在硅波导50中传输的光信号耦合至氮化物波导60中,反之亦然。由于氮化物波导60的传播损耗较小,光学网络40使用氮化物波导60在相对较长的距离上传输信号。通过这种方式,在传输期间可以保留更多的光信号强度。在一些实施例中,氮化物波导60可以具有介于约50μm和约1000μm之间的长度。对于光学网络40的一些弯曲段,光学网络40使用硅波导50,因为硅波导50可以具有比氮化物波导60更小的弯曲半径(由于硅和氮化硅之间的介电常数的差异)。
如图3A至图3C所示,光学网络40可以包括光子组件,诸如光电检测器154、调制器156、光栅耦合器152或其它组件。图3A中示出了示例性位点10,其可包括电连接至光子组件或光学耦合至光子组件的管芯(例如,210、212、214、216)。图3A示出了单个位点10,但是多个管芯或位点10可以耦合至光学网络40的多组光子组件。图3B示出了光学耦合至硅波导50的光栅耦合器152、光电检测器154和调制器156的平面图,并且图3C示出了光学耦合至硅波导50的光栅耦合器152、光电检测器154和调制器156的立体图。光子组件可以与硅波导50集成,并且可以与硅波导50一起形成。图6A至图6D示出了光电检测器154和调制器156的截面图。光子组件可以光学耦合至硅波导50以与硅波导50内的光信号相互作用。例如,光栅耦合器可以光学耦合至硅波导50以在硅波导内向外传输光信号,并且光电检测器152可以物理耦合至硅波导50以检测硅波导50内的光信号,并且调制器156可以光学耦合至硅波导50以在硅波导50内生成光信号。通过这种方式,使用诸如光学网络40的混合光学网络可以在氮化物波导60允许的较长距离上改进光信号的传输,同时还具有较小的弯曲半径和硅波导50所允许的集成光子分量。
转至图4A至图4C,示出了根据一些实施例的光子系统102。光子系统102可以是例如高性能计算(HPC)系统,并且包括多个位点10,每个位点10可以是单独的计算系统。图4A示出了包括多个位点10的光子系统102的平面图。图4B示出了如图4A所示的两个相邻位点10的特写图,并且图4C示出了通过如图4B所示的截面C-C’的截面图。每个位点10包括耦合至集成光子结构(IPS)20的一个或多个管芯(例如,管芯210、212或214,下面更详细地描述)。IPS 20可以附接至互连衬底30,如图4A至图4C所示。
光子系统102类似于图1A至图1C中所示的光子系统100,除了图4A至图4C的光子系统102不包括PIC 216之外。在光子系统102中,与每个位点10相关联的光子组件(例如,光电检测器、调制器等)形成在IPS 20中并且电连接至管芯212/214。(根据一些实施例,下面在图6A至图6E中描述用于在IPS 20中形成光子组件的工艺流程。)光子组件可以电连接至例如EIC 214并由例如EIC 214控制。通过在IPS 20中形成光子组件,在每个位点10中使用更少的管芯,这可以降低成本、组件的数量以及光子系统的尺寸。另外,可能损失由于光学网络40和PIC 216之间的传输而引起的较少的光信号强度,这可以降低光子系统使用的功率。
转至图5,示出了根据一些实施例的光子系统103的部分的截面图。图5中所示的光子系统103可以类似于图4A至图4C中所示的光子系统102。例如,光子系统103不包括PIC216。光子系统103包括包含一个或多个管芯的多个位点10。图5中所示的位点10包括两个EIC 214,但是位点10可以包括其它管芯,如上面参考图1A至图4C所述。管芯通过导电连接件220(例如,焊料凸块等)电连接至IPS 20。在一些实施例中,底部填充物322可以在管芯(例如,214/216)和IPS 20之间延伸。底部填充物322对于与光子系统103的光子组件和/或光学网络40相关联的光学波长可以是透明的或相对透明的。IPS 20通过通孔122或电连接件224(例如,焊料凸块等)电连接至互连衬底30。在一些实施例中,底部填充物226可以在IPS 20和互连衬底30之间延伸。
仍然参考图5,IPS 20包括形成在衬底104上的布线结构120。布线结构120包括一层或多层并且包括光学网络40的组件(例如,波导或如下描述的其它光子组件),并且可以包括电布线(例如,导电部件113,见图6G至图6H)或其它电子组件。电布线提供光子系统103的组件(例如,位点10、管芯214/216等、互连衬底30等)之间的电连接,并且可以在组件之间传输电信号或电功率。光学网络40允许位点10使用光信号进行通信。例如,EIC 214可以电连接至形成在布线结构120中的光电检测器154,其检测光学网络40中的光信号。EIC 214还可以电连接至布线结构120内的调制器156,其通过调制光学网络40内的光生成光信号。光学网络40可以包括由硅形成的波导(硅波导50)和由氮化硅形成的波导(氮化物波导60)。光学网络40可以包括在光学网络40和光纤140之间耦合光信号的一个或多个边缘耦合器90。通过这种方式,光学网络40可以通过一根或多根光纤140与外部组件通信。
转至图6A至图14D,示出了根据一些实施例的IPS 20的形成中的中间步骤。图6A至图14D所示的工艺可用于形成光子结构,诸如图1A至图1C至图5中所示的那些,但是在其它实施例中可以使用其它工艺。图6A至图6H示出了根据一些实施例的形成IPS 20的硅波导50的截面图。在一些实施例中,图6A至图6H中所示的部件的形成可以是后段制程(BEOL)工艺的一部分。图7A至图10D示出了根据一些实施例的形成氮化物波导60和模式转换器80的中间步骤的平面图或截面图。图7A至图10D所示的工艺可以在图6A至图6H所示的工艺之后实施为光子系统形成的一部分。图11A至图14D示出了根据一些实施例的形成氮化物波导60和边缘耦合器90的中间步骤的平面图或截面图。图11A至图14D所示的工艺可以在图6A至图6H所示的工艺之后实施为光子系统形成的一部分。在一些实施例中,图7A至图10D中所示的工艺和图11A至图14D中所示的工艺可以同时实施。
图6A至图6H示出了根据一些实施例的用于在IPS 20中形成硅波导50的工艺。首先转至图6A,在衬底104上方形成氧化物层106,并且在氧化物层106上方形成硅层108。衬底104可以是诸如玻璃、陶瓷、电介质的材料或半导体衬底。例如,衬底104可以包括可以是掺杂(例如,掺杂有p型或n型掺杂剂)或未掺杂的块状半导体等。在一些实施例中,衬底104是晶圆,诸如硅晶圆或其它类型的半导体晶圆。也可以使用诸如多层或梯度衬底的其它衬底材料。在一些实施例中,衬底104的材料可以包括硅;锗;包括碳化硅,砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟的化合物半导体;包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP等的合金半导体,或它们的组合。氧化物层106可以是例如氧化硅等。在一些实施例中,氧化物层106可以具有介于约0.5μm和约4μm之间的厚度。硅层108可以包括掺杂的硅或未掺杂的硅,并且可以具有在约0.1μm和约1.5μm之间的厚度。在一些实施例中,衬底104、氧化物层106和硅层108可以形成为埋氧(“BOX”)衬底,在图6A中示出为BOX衬底105。
在图6B中,图案化硅层108以形成用于硅波导50和其它光子组件的硅区域。例如,如图6B所示,硅层108的图案化区域154’可用于形成光电检测器154,并且硅层108的图案化区域156’可用于形成调制器156。硅层108可以使用光刻和蚀刻技术图案化。例如,可以在硅层108上方形成硬掩模层(例如,氮化物层或其它介电材料,图6B中未示出)并且图案化硬掩模层。然后可以使用诸如干蚀刻和/或湿蚀刻技术的一种或多种蚀刻技术将硬掩模层的图案转印至硅层108。在一些实施例中,可以使用多于一个的光刻和蚀刻序列,以将硅层108图案化成图案化区域154’或156’。出于说明性目的,光子组件(例如,154、156等)和硅波导50被示出为断开的,但是光子组件和/或硅波导50可以根据特定设计的需要连接或布置,诸如图3A至图3C中所示的那些。
在图6C中,在氧化物层106和图案化的硅层108上方形成氧化物层112。氧化物层112可以通过高密度等离子体化学汽相沉积(HDP-CVD)、可流动的CVD(FCVD)(例如,远程等离子体系统中的基于CVD的材料沉积和后固化以使其转化为另一材料,诸如氧化物)等或它们的组合来形成。可以使用通过任何可接受的工艺形成的其它绝缘材料。在一些实施例中,实施平坦化工艺(例如,化学机械抛光工艺),从而使得氧化物层112和硅层108的图案化部分共面。因此,氧化物层112可以具有与硅波导50大致相同的厚度,其可以在约100nm和约600nm之间,诸如约
Figure BDA0002110141750000111
在平坦化氧化物层112之后,可以实施注入工艺以在硅区域154’和156’内引入掺杂剂,作为光电检测器154和调制器156的形成的一部分。硅区域154’或156’可以掺杂有p型掺杂剂、n型掺杂剂或它们的组合。
仍然参考图6C,在一些实施例中,可以在硅区域154’和156’上方以及硅波导50上方形成可选的蚀刻停止层(ESL)114。ESL 114可以是诸如碳化硅(SiC)、碳氮化硅(SiCN)、碳氮氧化硅(SiOCN)等的材料,并且可以具有介于约
Figure BDA0002110141750000121
和约
Figure BDA0002110141750000122
之间的厚度,诸如约
Figure BDA0002110141750000123
ESL 114可以通过化学CVD、等离子体增强CVD(PECVD)、原子层沉积(ALD)等或它们的组合形成。在一些实施例中,通过在氧化物层112中并且穿过ESL 114来图案化开口以暴露硅区域154’,来从硅区域154’形成光电检测器154。然后可以在由开口暴露的硅区域154’上外延生长半导体材料(未单独标记)。半导体材料可以是例如锗(Ge),其可以是掺杂的或未掺杂的。在形成半导体材料之后,可以在ESL 114和半导体材料上方形成介电层116。介电层116可以是氧化物、氮化物等或它们的组合,并且可以通过诸如CVD、PVD等的工艺形成。在一些实施例中,介电层116是类似于氧化物层112的氧化物。可以使用通过任何可接受的工艺形成的其它介电材料。介电层116可以形成为具有介于约
Figure BDA0002110141750000124
和约
Figure BDA0002110141750000125
之间的厚度。
转至图6D,根据一些实施例,形成通孔122和导电部件113。通孔122可以是例如衬底通孔(TSV)等。导电部件113可以包括接触件、通孔、金属化层、电布线等或它们的组合。例如,图6D中所示的导电部件113可以包括至光电检测器154或调制器156的接触件。在一些实施例中,在形成通孔122或导电部件113之前,在介电层116上方形成介电层118。介电层118可以是与介电层116类似的材料,并且可以使用类似的技术形成。在形成之后,可以使用例如CMP工艺平坦化介电层118。
在一些实施例中,使用可接受的光刻和蚀刻技术,形成穿过氧化物层106和112以及介电层116和118部分地至衬底104中的用于通孔122的开口。然后可以在开口中形成导电材料,从而形成通孔122。诸如扩散阻挡层、粘合层等的衬垫(未示出)可以由诸如TaN、Ta、TiN、Ti、CoW等的材料形成在开口中,并且可以通过诸如ALD等的沉积工艺形成在开口中。在一些实施例中,可以在开口中沉积包括铜和铜合金的晶种层(未示出)。可以使用例如ECP、化学镀等在开口中形成通孔122的导电材料。导电材料可以是金属材料,包括金属或金属合金,诸如铜、银、金、钨、钴、铝等或它们的合金。可以实施诸如CMP工艺的平坦化工艺,以沿着氧化物层118的顶面去除过量的导电材料,从而使得通孔122和氧化物层118的顶面齐平。在一些实施例中,可以减薄衬底104的背面以暴露通孔122、以形成至通孔122的电连接。
仍然参考图6D,导电部件113可以通过镶嵌工艺形成,例如双镶嵌、单镶嵌等。例如,在一些实施例中,首先使用可接受的光刻和蚀刻技术在氧化物层118和116中形成用于导电部件113的开口。然后可以在开口中形成导电材料,从而形成导电部件113。导电部件113的导电材料可以由包括铝、铜、钨等的金属或金属合金形成。在其它实施例中,可以使用其它技术或材料形成导电部件113。
转至图6E至图6F,在介电层118上方形成附加介电层和导电部件113,从而形成布线区域121。图6F示出了沿图6E中所示的截面F-F’的截面图。布线区域121包括介电层、导电部件113、光子组件、硅波导50,以及存在于最终布线结构120(见图2或图5)中的其它部件。为了清楚起见,附加介电层未单独标记,并且在布线区域121中可存在比所示出的更多或更少的介电层。附加介电层可以是与介电层114或116或氧化物层106或112类似的材料,并且可以使用类似的技术形成。附加导电部件113可以包括通孔或金属线,并且可以以与图6D的导电部件113类似的方式形成。在一些实施例中,可以在导电部件113上形成一个或多个导电焊盘115。随后在导电焊盘115上形成导电连接件220(见图2和图5)。导电焊盘115可以是包括铝、铜、钨等的导电材料。在一些实施例中,然后在布线区域121上方形成钝化层117。钝化层117可以是诸如SiN等的材料,并且可以具有介于约1μm和约3μm之间的厚度。可以使用诸如先前描述的那些的可接受的沉积技术形成钝化层117。
转至图6G至图6H,使硅波导50的区域上方的布线区域121凹进,从而形成凹进区域121’。可以使用可接受的光刻和蚀刻技术使布线区域121凹进。蚀刻技术可以包括干蚀刻工艺和/或湿蚀刻工艺,并且凹进可以包括多个蚀刻步骤。在一些实施例中,使用蚀刻工艺使布线区域121凹进,从而使得ESL 114的部分保留,剩余部分在图6G至图6H中表示为ESL114’。在一些实施例中,ESL 114’的剩余部分具有介于约
Figure BDA0002110141750000141
和约之间的厚度,诸如约
Figure BDA0002110141750000143
在一些实施例中,ESL 114’的剩余部分的厚度小于约
Figure BDA0002110141750000144
诸如小于约
Figure BDA0002110141750000145
在一些实施例中,使用蚀刻工艺使布线区域121凹进,该蚀刻工艺完全去除ESL 114并且暴露硅波导50。凹进区域121’可以对应于IPS 20的区域,其中,形成硅波导60和/或包层70(见图3A)。未凹进的布线区域121的剩余部分可以对应于IPS 20中未形成包层70的区域,例如,其上方可以放置管芯的区域。
转至图7A至图7C至图10A至图10D,示出了根据一些实施例的形成氮化物波导60(见例如图10B至图10C)和模式转换器80(见例如图10A)的中间阶段。在一些实施例中,图7A至10D所示的工艺可以对图6G至图6H所示的结构实施。模式转换器80耦合硅波导50和氮化物波导60,允许光信号在硅波导50和氮化物波导60之间传输。图7A、图8A、图9A和图10A示出了平面图,并且图7B至图7C图8B至图8C、图9B至图9C和图10B至图10D示出了在每个平面图上指示的截面图。例如,图7B示出了通过图7A中所示的截面B-B’的截面图。
在图7A至图7C中,示出了布线区域121的凹进区域121’,类似于图6G至图6H所示的凹进区域121’。在图7A所示的凹进区域121’中,硅层108(见图6A至图6B)已被图案化以形成具有锥形端的硅波导50。在一些实施例中,硅波导50具有介于约250nm和约1000nm之间的宽度W1或介于约100nm和约600nm之间的厚度T1。在一些实施例中,硅波导50的锥形端具有介于约10μm和约500μm之间的长度L1。在一些实施例中,硅波导50的锥形端可以相对于硅波导50的纵向方向具有约0.7度和约1.4度之间的锥角。在其它实施例中,硅波导50的端部可以具有与锥形不同的形状,诸如圆形或扁平形状。
转至图8A至图8C,在图7A至图7C的结构中所示的硅波导50上方形成氮化物波导60。氮化物波导60可以通过例如在氧化物层112和硅波导50上方沉积氮化硅层(未示出),并且然后使用可接受的光刻和蚀刻技术图案化氮化硅层来形成。氮化硅层也可以形成在整个结构上方,诸如在布线区域121上方和凹进区域121’上方。在一些实施例中,可以在氮化硅层上方形成并图案化光刻胶结构,并且然后使用蚀刻工艺将图案转印至氮化硅层以形成氮化物波导60。在一些实施例中,蚀刻工艺可以包括干蚀刻工艺和/或湿蚀刻工艺,并且蚀刻工艺可以相对于氧化硅或其它材料(例如,钝化层117的材料)对氮化硅具有选择性。例如,蚀刻工艺可以从布线区域121上方或者从凹进区域121’的部分上方去除氮化硅。可以使用诸如CVD、LPCVD、PVD等的合适的沉积技术形成氮化硅。在一些实施例中,氮化物波导60可以具有介于约200nm和约1000nm之间的宽度W2,以及具有介于约200nm和约500nm之间的厚度T3。氮化物波导60的端部示出为平坦的,但是在其它实施例中,氮化物波导60的端部可以具有其它形状(例如,锥形、圆形等)。
如图8A至图8C所示,氮化物波导60形成在硅波导50和氧化物层112上方,并且氮化物波导60在硅波导50的锥形端上方延伸。在一些实施例中,氮化物波导60从硅波导50的端部在硅波导50上方延伸介于约20μm和约500μm之间的长度L2。硅波导60的端部的锥形使得硅波导50内的光信号熄灭,并且光信号的一部分能量被耦合至氮化物波导60中。类似地,氮化物波导内的光信号可以耦合至硅波导50。通过这种方式,形成模式转换器80,以耦合硅波导50的光学模式和氮化物波导60的光学模式。
图9A至图9C和图10A至图10D示出了围绕硅波导50和氮化物波导60的包层70(见图10A至图10D)的形成。在一些实施例中,包层70通过图案化形成在硅波导50和氮化物波导60上方的氧化物层124(见图9A至图9C)形成。图案化氧化物层124,从而使得包层70的侧壁表面和/或顶面暴露于空气(例如,大气环境)。包层70的较高介电常数与空气的较低介电常数之间的界面可以减少来自包层70内的光泄漏。通过形成包层70,使得硅波导50和氮化物波导60的区域由包层70覆盖并且通过空气横向隔离,可以改进硅波导50和氮化物波导60内的光信号的限制。在一些情况下,如上所述形成由空气围绕的包层70可以减少由于硅波导50和衬底104之间的光学耦合导致的光信号损失量。通过这种方式,包层70可以被认为是与模式转换器80操作的第二模式转换器,以改进硅波导50和氮化物波导60之间的耦合效率。
转至图9A至图9C,在氧化物层112、暴露的硅波导50和氮化物波导60上方形成氧化物层124。氧化物层124可以是与氧化物层112类似的材料并且可以使用类似的技术形成。在一些实施例中,氧化物层124可以形成为在氧化物112之上具有介于约4μm和约8μm之间的厚度T4。在图10A至图10D中,图案化氧化物层124以形成包层70。可以使用可接受的光刻和蚀刻技术图案化氧化物层124。例如,可以在氧化物层124上方形成并且图案化光刻胶结构,并且然后使用蚀刻工艺将图案转印至氧化物层124以形成包层70。在一些实施例中,蚀刻工艺可以包括干蚀刻工艺和/或湿蚀刻工艺,并且蚀刻工艺可以相对于硅对氧化硅具有选择性。在一些实施例中,包层70的围绕氮化物波导60的区域可以具有介于约1.0μm和约2.5μm之间的宽度W3,并且包层70的围绕硅波导50的区域可以具有介于约6μm和约10μm之间的宽度W4。在一些实施例中,包层70可以具有介于约8μm和约10μm之间的厚度T5。
转至图11A至图11C至图16A至图16C,示出了根据一些实施例的边缘耦合器90的形成中的中间阶段。在一些实施例中,图11A至16C所示的工艺可以对图6G至图6H所示的结构实施。图11A至16C所示的工艺可以与图7A至图10D所示的工艺同时实施。边缘耦合器90将硅波导50耦合至光纤140(见例如图2、图3A或图5),从而允许光信号在硅波导50和外部组件(未示出)之间传输。图11A、图12A、图13A、图14A、图15A和图16A示出平面图,并且图11B至图11C、图12B至图12C、图13B至图13C、图14B至图14D、图15B至图15C和图16B至图16C示出了每个平面图上指示的截面图。例如,图11B示出了通过图11A中所示的截面B-B’的截面图。
在图11A至图11C中,示出了布线区域121的凹进区域121’,类似于图6G至图6H中所示的凹进区域121’。图11A至图11C中所示的凹进区域121’和图7A至图7C中所示的凹进区域121’可以是例如图6G至图6H中所示的相同结构的不同凹进区域121’。在图11A所示的凹进区域121’中,已经图案化硅层108(见图6A至图6B)以形成具有锥形端的硅波导50。图11A至图11C中所示的图案化的硅波导50可以与图7A至图7C中所示的图案化硅波导50具有相同的形状或不同的形状。在一些实施例中,硅波导50的锥形端具有介于约50μm和约500μm之间的长度L3。硅波导50的端部可以逐渐变细到一点或可以逐渐变细到钝端,如图11A所示。在一些实施例中,硅波导50的锥形端相对于硅波导50的纵向方向可以具有在约0.1度和约0.6度之间的锥角。在其它实施例中,硅波导50的端部可以具有与锥形不同的形状,诸如圆形形状、扁平形状或其它形状。
转至图12A至图12C,在图11A至图11C的结构中所示的硅波导50的端部上方形成氮化物耦合器92。光信号从硅波导50的锥形端耦合至氮化物耦合器92中。然后氮化物耦合器92将光信号耦合至光纤140中。如上所述,在边缘耦合器90中使用氮化物耦合器92将光信号从硅波导50耦合至光纤140可以增加耦合光信号的光学限制,并且因此减少损耗并提高耦合效率。氮化物耦合器92可以以与先前描述的氮化物波导60类似的方式由氮化硅形成,并且可以与氮化物波导60同时形成。氮化物耦合器92可以通过例如沉积氮化硅层(未示出)并且然后使用可接受的光刻和蚀刻技术图案氮化硅层来形成。图12A中所示的氮化物耦合器92是说明性实例,并且氮化物耦合器92可以具有与图12A中所示的不同的尺寸或不同的形状。例如,在其它实施例中,氮化物耦合器92可以具有锥形形状、多边形形状、矩形形状、圆形形状、不规则形状或其它形状。在一些实施例中,氮化物耦合器92可以具有介于约0.5μm和约5μm之间的宽度W5,以及介于约100nm和约500nm之间的厚度T6。在一些实施例中,氮化物耦合器92可以具有与氮化物波导60大致相同的厚度。氮化物耦合器92可以具有介于约400μm和约1600μm之间的长度L3。氮化物耦合器92可以从硅波导50的端部在硅波导上方延伸在约100μm和约500μm之间的长度L4。
图13A至图13E示出了围绕氮化物耦合器92和硅波导50的包层70的形成,从而形成边缘耦合器90。围绕边缘耦合器90的包层70可以用先前描述的包层70(见图10A至图10D)形成。通过形成包层70使得边缘耦合器90由包层70覆盖并且通过空气横向隔离,可以减少光信号的损失。如前所述,可以通过在氮化物耦合器92上方形成氧化物层124,并且然后使用图案化掩模实施蚀刻工艺来形成包层70。在一些实施例中,蚀刻工艺还蚀刻氮化物耦合器92的部分,使得在蚀刻工艺之后暴露氮化物耦合器92的侧壁,如图13A、图13C和图13D所示。在一些实施例中,氮化物耦合器92的暴露侧壁的宽度可以在约100nm和约500nm之间。
转至图14A至图14D,在衬底104中蚀刻光纤沟槽134。光纤沟槽134用于支撑光纤140(在图14C中示意性地示出)并且有助于光纤140与边缘耦合器90的对准。光纤沟槽134可以通过合适的光刻和蚀刻技术形成。例如,可以在衬底104上方形成并且图案化光刻胶结构,并且然后使用蚀刻工艺将图案转印至衬底104以形成光纤沟槽134。在一些实施例中,蚀刻工艺可以包括干蚀刻工艺和/或湿蚀刻工艺,蚀刻工艺可以相对于氧化硅或氮化硅对硅具有选择性。纤维沟槽134可以具有垂直或成角度的侧壁、平坦的底面或V形的底面,或可以具有与这些实例不同的形状。在一些情况下,可以通过控制蚀刻工艺的特性(例如,蚀刻时间、蚀刻剂的选择等)来控制光纤沟槽134的形状和尺寸。在一些实施例中,光纤沟槽134的边缘可以与边缘耦合器90分隔开介于约5μm和约50μm之间的距离W6。光纤沟槽134可以从衬底104的边缘延伸介于约0μm和约50μm之间的距离W6。光纤沟槽134可以具有至衬底104中约0μm和约50μm之间的深度D1。在一些实施例中,光纤140可以通过光学胶(未示出)固定在光纤沟槽134内。在一些实施例中,可以在衬底104中形成多于一个的光纤沟槽134。通过这种方式,图6A至图6C至图14A至图14D描述了包括光学网络40的光子系统的实施例,光学网络40具有硅波导50和氮化物波导60。在这些实施例中,氮化物波导60形成在硅波导50上方,并且在硅波导50和氮化物波导60上方形成空气隔离包层70,以减少从硅波导50至衬底104的光泄漏。
现在转至图15A至图19,示出了实施例,其中,硅波导50和氮化物波导60(包括模式转换器80或边缘耦合器90)分别形成在第一IPS结构240(见图15C)和第二IPS结构250(见图16G)中,并且然后接合在一起,以形成IPS 20(见图17)。在这些实施例中,氮化物波导60可以位于IPS 20中的硅波导50和硅衬底104之间。由于硅波导50和衬底104之间的距离增加,硅波导50和硅衬底104之间的泄漏可以减少。通过这种方式,可以形成具有氮化物波导60的上述优势的光学网络40,同时还具有减少的光信号损失而不形成包层70。图17中所示的IPS20可以用于光子系统,诸如图2中所示的光子系统101、图5中所示的光子系统103或在本文所述的其它实施例光子系统。
图15A至图15B示出了根据一些实施例的形成第一IPS结构240的截面图。在一些实施例中,图15A至图15B中所示的部件的形成可以是后段制程(BEOL)工艺的一部分。图16A至图16G示出了根据一些实施例的形成第二IPS结构250的截面图。图16B、图16D和图16F至图16G示出了如图16A所示的截面B-B’的截面图。图17至图19示出了根据一些实施例的形成IPS 20的截面图。
在图15A中,在衬底230上方形成上布线结构123。上部布线结构123是随后形成的布线结构120(见图17,以及图2或图5)的一部分。在一些实施例中,上布线结构123可以类似于图6E中所示的布线区域121,并且可以以类似的方式形成。例如,在一些实施例中,图15A中所示的上布线结构123可以形成在类似于图6A中所示的BOX衬底105的BOX衬底上方。可以图案化硅层(例如,硅层108,见图6A)以形成硅波导50和集成光子组件,诸如光电检测器154、调制器156、光栅耦合器152(图15A至图19中未示出)或其它组件。然后可以在硅波导50和集成光子组件154/156上方形成导电部件113,并且在导电部件113上方形成导电焊盘115。
在图15B中,在导电焊盘115上方形成导电连接件220,并且上布线结构123附接至载体232(例如,通过粘合剂)。在一些实施例中,可以在导电焊盘115上方形成一个或多个介电层222,并且然后在介电层222中形成开口以暴露导电焊盘115。介电层222可以包括一个或多个氧化物层、氮化物层、蚀刻停止层、钝化层等或它们的组合。可以使用合适的光刻和蚀刻技术图案化介电层222。
在一些实施例中,在导电焊盘和介电层222上形成凸块下金属(UBM)221。可以通过在介电层222和导电焊盘115上形成一个或多个导电层来形成UBM 221。可以使用诸如镀工艺的合适的工艺形成导电层。导电层可以由铜、铜合金、银、钛、金、铝、镍等或它们的组合形成。然后可以图案化导电层以形成UBM 221。
然后在UBM 221上形成导电连接件220。导电连接件220可以是球栅阵列(BGA)连接件、焊球、金属柱、可控塌陷芯片连接(C4)凸块、微凸块、化学镀镍化学镀钯浸金技术(ENEPIG)形成的凸起等。导电连接件220可以包括导电材料,诸如焊料、铜、铝、金、镍、银、钯、锡等或它们的组合。在一些实施例中,通过首先通过诸如蒸发、电镀、印刷、焊料转移、球放置等常用方法形成焊料层来形成导电连接件220。一旦在结构上形成焊料层,则可以实施回流以将材料成形为所需的凸块形状。在另一实施例中,导电连接件220是通过溅射、印刷、电镀、化学镀、CVD等形成的金属柱(诸如铜柱)。金属柱可以是无焊料的并且具有基本垂直的侧壁。在一些实施例中,金属覆盖层(未示出)形成在导电连接件220的顶部上。金属覆盖层可以包括镍、锡、锡铅、金、银、钯,铟、镍-钯-金、镍-金等或它们的组合,并且可以通过镀工艺形成。
在图15C中,去除衬底104并且减薄氧化物层106,形成第一IPS结构240。可以使用平坦化工艺(例如,CMP或研磨工艺)、蚀刻工艺或它们的组合去除衬底104。可以使用平坦化工艺来减薄氧化物层106,从而形成减薄的氧化物层106’。在一些实施例中,减薄的氧化物层106’可以具有介于约400nm和约600nm之间的厚度。
转至图16A至图16G,示出了根据一些实施例的第二IPS结构250的形成。在图16A至图16B中,在衬底252上方形成氧化物层254。衬底252可以是诸如玻璃、陶瓷、电介质或半导体衬底的材料。例如,衬底252可以包括块状半导体等,其可以是掺杂(例如,掺杂有p型或n型掺杂剂)或未掺杂的。在一些实施例中,衬底252是晶圆,诸如硅晶圆或其它类型的半导体晶圆。也可以使用诸如多层或梯度衬底的其它衬底材料。在一些实施例中,衬底252的材料可以包括硅;锗;包括碳化硅,砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟的化合物半导体;包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP等的合金半导体,或它们的组合。氧化物层254可以是例如氧化硅等。在一些实施例中,氧化物层254可以通过高密度等离子体化学汽相沉积(HDP-CVD)、可流动CVD(FCVD)(例如,远程等离子体系统中的基于CVD的材料沉积和后固化以使其转换为另一材料,例如氧化物)等或它们的组合。在一些实施例中,在形成之后对氧化物层254实施平坦化工艺(例如,CMP工艺)。在一些实施例中,氧化物层254可以具有介于约500nm和约2500nm之间的厚度。
仍然参考图16A至图16B,在氧化物层254上方形成并且图案化氮化硅层(未示出),从而形成氮化物波导60和氮化物耦合器92,其中,图16B是沿着图16A的线B-B’的截面图。可以使用可接受的光刻和蚀刻技术图案化氮化硅层。例如,可以在氮化硅层上方形成并且图案化光刻胶结构,并且然后使用蚀刻工艺将图案转印至氮化硅层,以形成氮化物波导60和氮化物耦合器92(下文中有时称为“氮化物部件60/92”)。在一些实施例中,蚀刻工艺可以包括干蚀刻工艺和/或湿蚀刻工艺,并且蚀刻工艺可以相对于氧化硅对氮化硅具有选择性。可以使用合适的沉积技术形成氮化硅,合适的沉积技术诸如CVD、LPCVD、PVD等。在一些实施例中,可以形成具有介于约50nm和约500nm之间的厚度的氮化物层。
在一些情况下,使用LPCVD技术沉积氮化物部件60/92的氮化硅可以减少氮化物部件60/92的传播损耗(例如,与诸如PECVD的其它沉积技术相比)。在一些情况下,通过使用LPCVD技术在约600℃和约800℃之间的工艺温度下形成氮化硅,可以减少氮化物部件60/92的传播损耗。然而,在某些情况下,超过约400℃的温度会损坏硅光子元件,诸如硅波导50、光电检测器154、调制器156等(见图15A至图15C)。因此,通过在分离的衬底上形成氮化物部件60/92和硅光子部件,可以使用改进氮化物部件60/92的性能的沉积技术形成氮化物部件60/92,而没有损坏硅光子组件的风险。
转至图16C至图16D,在氧化物层254和氮化物部件60/92上方形成氧化物层256,其中,图16D是沿着图16C的B-B’线的截面图。氧化物层256可以由与氧化物层254类似的材料形成,并且可以以类似的方式形成。可以使用CMP工艺等平坦化氧化物层256。在一些实施例中,氧化物层256可以形成为具有在约55nm和约550nm之间的厚度。在一些实施例中,氮化物部件60/92上方的氧化物层256的厚度可以在约5nm和约50nm之间。
转至图16E至图16G,在衬底252中形成通孔258和光纤沟槽134,从而形成第二IPS结构250。通孔258可以是例如通孔(TV)等,其中,图16F至图16G是沿着图16E的B-B’线的截面图。当第一IPS结构240接合至第二IPS结构250时(见图17),通孔258可以形成为制成与通孔122电连接。可以使用与通孔122类似的材料或类似工艺来形成通孔258。例如,使用可接受的光刻和蚀刻技术,形成穿过氧化物层256和254并且至衬底252中的用于通孔258的开口。然后可以在开口中形成导电材料,从而形成通孔258。可以实施平坦化工艺,诸如CMP工艺,使得通孔258和氧化物层256的顶面齐平。
仍然参考图16E至图16G,在衬底252中蚀刻光纤沟槽134。在蚀刻光纤沟槽134之前,可以图案化和蚀刻氧化物层254/256和氮化物耦合器92(例如,使用合适的光刻和蚀刻技术),从而使得氮化物耦合器92的侧壁暴露。可以通过合适的光刻和蚀刻技术形成光纤沟槽134。在一些实施例中,蚀刻工艺可以包括干蚀刻工艺和/或湿蚀刻工艺,并且蚀刻工艺可以相对于氧化物或氮化硅对硅具有选择性。纤维沟槽134可以具有垂直或成角度的侧壁、平坦的底面或V形的底面,或可以具有与这些实例不同的形状。在一些实施例中,蚀刻工艺底切氧化物层254,如图16F所示。光纤沟槽134可以底切氧化物层254约40μm和约100μm之间的距离。在其它实施例中,蚀刻工艺不会底切氧化物层254,如图16G所示。在一些实施例中,可以在衬底252中形成多于一个的光纤沟槽134。
转至图17,根据一些实施例,第一IPS结构240(见图15C)接合至第二IPS结构250(见图16E)以形成具有混合光学网络的IPS 20。图17中所示的IPS 20可用于光子系统,诸如图2中所示的光子系统101、图5中所示的光子系统103或本文所述的其它实施例光子系统。可以使用合适的接合技术将第一IPS结构240接合至第二IPS结构250,合适的接合技术诸如混合接合、熔融接合、直接接合、介电接合、金属接合等。例如,第一IPS结构240的减薄氧化物层106’可以接合至第二IPS结构250的氧化物层256。另外,第一IPS结构240的通孔122可以接合至第二IPS结构240的通孔258,以在第一IPS结构240和第二IPS结构250之间形成电连接。第一IPS结构240的硅波导50可以在第二IPS结构250的相应氮化物部件60/92上方对准以形成IPS 20的光学网络40。例如,氮化物波导60的端部(例如,类似于图8A中所示的端部)可以在硅波导50的端部上方对准(例如,类似于图7A中所示)以在接合之后在IPS 20内形成模式转换器80。通过这种方式,还形成IPS 20的布线结构120。在接合之后,可以从第一IPS结构240去除载体232。
在一些实施例中,可以形成多个IPS 20并且然后分割多个IPS 20,如图18所示。在一些实施例中,多个第一IPS结构240可以接合至多个第二IPS结构250,并且然后实施分割工艺260以分割单独的IPS 20。分割工艺260可以包括使用锯片、激光或其它技术或技术组合的合适的分割工艺。在分割之后,光纤140可以安装在沟槽134中并与氮化物耦合器92对准,如图19所示。光纤140可以使用光学胶(未示出)或其它合适的粘合材料固定在适当位置。
在一些情况下,使用包括硅和氮化硅组分的集成光学网络可以实现许多优势。例如,氮化硅波导可以具有比硅波导更低的传播损耗,因此使用氮化硅波导在位点之间传输光信号可以改进信号强度并降低用于补偿信号损失的功耗。硅波导和氮化硅波导可以通过形成耦合结构来耦合。可以在硅波导之上的层上形成氮化硅波导,并且可以形成围绕氮化硅波导和硅波导的氧化硅包层。通过使包层的侧面和顶面暴露于大气,可以减少由于与衬底的光学耦合而导致的信号损失。氮化硅波导可以形成在与硅波导不同的衬底上,并且然后可以将氮化硅波导结构和硅波导结构接合在一起。可以以这种方式在硅波导和衬底之间形成氮化硅波导,这减少了硅波导和衬底之间的耦合。使用这些技术的光子系统可以形成为具有集成在单独管芯中的光子部件,或可以形成为具有与硅波导集成的光子组件。
在实施例中,方法包括在衬底上方的第一氧化物层中形成硅波导段,第一氧化物层设置在衬底上,在第一氧化物层上方形成布线结构,该布线结构包括一个或多个绝缘层以及位于一个或多个绝缘层中的一个或多个导电部件,使布线结构的区域凹进,在布线结构的凹进区域中形成氮化物波导段,其中,氮化物波导段在硅波导段上方延伸,在氮化物波导段上方形成第二氧化物层,以及将半导体管芯附接至布线结构,管芯电连接至导电部件。在实施例中,该方法还包括图案化第一氧化物层和第二氧化物层以形成围绕硅波导段和氮化物波导段的包层结构,该包层结构具有暴露的侧壁。在实施例中,氮化物波导段是直的。在实施例中,该方法还包括在第一氧化物层上方形成光子器件,其中,光子器件包括硅,并且其中,光子器件光学耦合至至少一个第一波导段。在实施例中,光子器件包括调制器。在实施例中,该方法还包括形成延伸穿过衬底的通孔,其中,导电部件电耦合至通孔。在实施例中,至少一个半导体管芯是集成光子管芯。在实施例中,至少一个氮化物波导段在硅波导的端部上方延伸,该端部具有锥形形状。在实施例中,该方法还包括在第一氧化物层上方形成边缘耦合器,边缘耦合器包括氮化硅,其中,边缘耦合器的部分在硅波导段的一个上方延伸。
在实施例中,方法包括形成第一光子结构,包括图案化第一衬底上的硅层以形成第一组波导,其中,硅层设置在第一氧化物层上,在第一组波导上方形成导电部件,以及去除第一衬底以暴露第一氧化物层。该方法还包括形成第二光子结构,包括在第二衬底上沉积氮化硅层,图案化氮化硅层以形成第二组波导,以及在第二组波导上方形成第二氧化物层。该方法还包括将第一光子结构接合至第二光子结构,其中,第一氧化物层接合至第二氧化物层,其中,第一组波导与第二组波导横向对准。在实施例中,该方法还包括将半导体管芯连接至导电部件。在实施例中,该方法还包括图案化氮化硅层以形成边缘耦合器并且使第二氧化物层凹进以暴露边缘耦合器的侧壁。在实施例中,该方法还包括在邻近边缘耦合器的第二衬底中蚀刻沟槽,其中,沟槽被配置为使光纤与边缘耦合器对准。在实施例中,该方法包括将第二光子结构附接至互连结构。在实施例中,该方法包括图案化硅层以形成光子器件,光子器件光学耦合至第一组波导。在实施例中,使用包括700℃至850℃的工艺温度的低压化学汽相沉积工艺来沉积氮化硅层。
在实施例中,光子器件包括集成光子结构,集成光子结构包括位于衬底上方的多个氧化物层、位于多个氧化物层内的多个第一波导和多个第二波导,其中,多个第一波导光耦合至多个第二波导,其中,多个第一波导包括硅,并且多个第二波导包括氮化硅,以及位于多个第一波导的第一波导的至少一部分上方的布线结构,布线结构包括多个绝缘层和位于多个绝缘层中的导电部件,并且多个半导体管芯附接至布线结构,其中,多个半导体管芯电耦合至多个导电部件。在实施例中,多个第一波导比多个第二波导更靠近衬底。在实施例中,光子器件包括位于多个氧化物层内的光电检测器,光电检测器光学耦合至第一波导并且电耦合至多个导电部件。在实施例中,光子器件包括位于多个氧化物层内的光栅耦合器,光栅耦合器光学耦合至第一波导,并且还包括位于半导体管芯内的光电检测器,光电检测器被配置为从光栅耦合器接收光信号。
上面概述了若干实施例的特征,使得本领域人员可以更好地理解本发明的方面。本领域人员应该理解,它们可以容易地使用本发明作为基础来设计或修改用于实施与本文所介绍实施例相同的目的和/或实现相同优势的其它工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中它们可以做出多种变化、替换以及改变。

Claims (10)

1.一种形成光子器件的方法,包括:
在衬底上方的第一氧化物层中形成硅波导段,所述第一氧化物层设置在所述衬底上;
在所述第一氧化物层上方形成布线结构,所述布线结构包括一个或多个绝缘层以及位于所述一个或多个绝缘层中的一个或多个导电部件;
使所述布线结构的区域凹进;
在所述布线结构的凹进区域中形成氮化物波导段,其中,所述氮化物波导段在所述硅波导段上方延伸;
在所述氮化物波导段上方形成第二氧化物层;以及
将所述半导体管芯附接至所述布线结构,所述管芯电连接至所述导电部件。
2.根据权利要求1所述的方法,还包括,图案化所述第一氧化物层和所述第二氧化物层以形成围绕所述硅波导段和所述氮化物波导段的包层结构,所述包层结构具有暴露的侧壁。
3.根据权利要求1所述的方法,其中,所述氮化物波导段是直的。
4.根据权利要求1所述的方法,还包括,在所述第一氧化物层上方形成光子器件,其中,所述光子器件包括硅,并且其中,所述光子器件光学耦合至至少一个第一波导段。
5.根据权利要求4所述的方法,其中,所述光子器件包括调制器。
6.根据权利要求1所述的方法,还包括,形成延伸穿过所述衬底的通孔,其中,所述导电部件电耦合至所述通孔。
7.根据权利要求1所述的方法,其中,至少一个半导体管芯是集成光子管芯。
8.根据权利要求1所述的方法,其中,至少一个氮化物波导段在所述硅波导的端部上方延伸,所述端部具有锥形形状。
9.一种形成光子器件的方法,包括:
形成第一光子结构,包括:
图案化第一衬底上的硅层以形成第一组波导,其中,所述硅层设置在第一氧化物层上;
在所述第一组波导上方形成导电部件;以及
去除所述第一衬底以暴露所述第一氧化物层;
形成第二光子结构,包括:
在第二衬底上沉积氮化硅层;
图案化所述氮化硅层以形成第二组波导;以及
在所述第二组波导上方形成第二氧化物层;以及
将所述第一光子结构接合至所述第二光子结构,其中,所述第一氧化物层接合至所述第二氧化物层,其中,所述第一组波导与所述第二组波导横向对准。
10.一种光子器件,包括:
集成光子结构,包括:
多个氧化物层,位于衬底上方;
多个第一波导和多个第二波导,位于所述多个氧化物层内,其中,所述多个第一波导光耦合至所述多个第二波导,其中,所述多个第一波导包括硅,并且所述多个第二波导包括氮化硅;以及
布线结构,位于所述多个第一波导的第一波导的至少部分上方,所述布线结构包括多个绝缘层和位于所述多个绝缘层中的多个导电部件;以及
多个半导体管芯,附接至所述布线结构,其中,所述多个半导体管芯电耦合至所述多个导电部件。
CN201910568406.2A 2018-06-27 2019-06-27 光子器件和形成光子器件方法 Active CN110648974B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862690760P 2018-06-27 2018-06-27
US62/690,760 2018-06-27
US16/450,725 US10746923B2 (en) 2018-06-27 2019-06-24 Photonic semiconductor device and method
US16/450,725 2019-06-24

Publications (2)

Publication Number Publication Date
CN110648974A true CN110648974A (zh) 2020-01-03
CN110648974B CN110648974B (zh) 2021-04-27

Family

ID=68886287

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910568406.2A Active CN110648974B (zh) 2018-06-27 2019-06-27 光子器件和形成光子器件方法

Country Status (5)

Country Link
US (2) US10746923B2 (zh)
KR (1) KR102307165B1 (zh)
CN (1) CN110648974B (zh)
DE (1) DE102019117173B4 (zh)
TW (1) TWI740168B (zh)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113156578A (zh) * 2020-01-22 2021-07-23 台湾积体电路制造股份有限公司 半导体器件和制造方法
CN113253490A (zh) * 2020-02-11 2021-08-13 台湾积体电路制造股份有限公司 半导体器件和其形成方法
CN113341501A (zh) * 2020-03-02 2021-09-03 台湾积体电路制造股份有限公司 集成芯片及其形成方法
CN113484948A (zh) * 2020-06-19 2021-10-08 台湾积体电路制造股份有限公司 半导体结构及其制造方法
CN113534339A (zh) * 2020-06-29 2021-10-22 台湾积体电路制造股份有限公司 半导体结构及其制造方法
CN113804291A (zh) * 2020-06-15 2021-12-17 格芯(美国)集成电路科技有限公司 耦合至一个或多个光电检测器的多个波导
CN114442223A (zh) * 2020-11-02 2022-05-06 格芯(美国)集成电路科技有限公司 具有隔离吸收器的多模光波导结构
CN114690310A (zh) * 2020-12-30 2022-07-01 格芯(美国)集成电路科技有限公司 包括凹槽膜的边缘耦合器
CN114910998A (zh) * 2021-02-08 2022-08-16 格芯(美国)集成电路科技有限公司 具有多层级式的层的混合边缘耦合器
CN114966978A (zh) * 2021-02-19 2022-08-30 格芯(美国)集成电路科技有限公司 具有氮化硅波导边缘耦合器的光子集成电路
CN115248477A (zh) * 2021-07-06 2022-10-28 台湾积体电路制造股份有限公司 封装件及其形成方法
CN115390197A (zh) * 2021-05-25 2022-11-25 台湾积体电路制造股份有限公司 半导体器件及其制造方法
WO2023193780A1 (zh) * 2022-04-08 2023-10-12 南京光智元科技有限公司 转接板、光芯片封装、计算加速器及其制造方法

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10746923B2 (en) 2018-06-27 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic semiconductor device and method
US10262984B1 (en) * 2018-07-05 2019-04-16 Stmicroelectronics S.R.L. Optical integrated circuit systems, devices, and methods of fabrication
US10937736B2 (en) * 2019-06-14 2021-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid integrated circuit package and method
US11493689B2 (en) * 2019-09-19 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Photonic semiconductor device and method of manufacture
US11215753B2 (en) * 2020-02-27 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic semiconductor device and method
US11592618B2 (en) 2020-06-10 2023-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Photonic semiconductor device and method of manufacture
US11409037B2 (en) * 2020-10-28 2022-08-09 Globalfoundries U.S. Inc. Enlarged waveguide for photonic integrated circuit without impacting interconnect layers
US11742295B2 (en) 2020-12-28 2023-08-29 Global Unichip Corporation Interface of integrated circuit die and method for arranging interface thereof
US20230057702A1 (en) * 2021-08-19 2023-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US12044892B2 (en) * 2021-11-22 2024-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure including photonic package and interposer having waveguide
US20230221511A1 (en) * 2022-01-12 2023-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices with vertically stacked waveguides
WO2024143134A1 (ja) * 2022-12-28 2024-07-04 京セラ株式会社 光回路基板、光学部品実装構造体および光回路基板の製造方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103915390A (zh) * 2013-01-08 2014-07-09 国际商业机器公司 具有公共栅极的光子器件和cmos器件及其制造方法
CN104111494A (zh) * 2014-06-19 2014-10-22 中国科学院半导体研究所 基于氮化硅波导和微环的模式-波长复用器件的制备方法
CN104335088A (zh) * 2012-07-13 2015-02-04 华为技术有限公司 带有源结构和无源结构的光子电路的制造工艺
US20150378096A1 (en) * 2013-06-25 2015-12-31 Globalfoundries Inc. Integration of optical components in integrated circuits
CN105336748A (zh) * 2012-01-18 2016-02-17 斯考皮欧技术有限公司 Cmos电子器件与光子器件的垂直集成
US20170212304A1 (en) * 2016-01-21 2017-07-27 Huawei Technologies Canada Co., Ltd. Photonic Platform Having Light-Transferring Interlayer Transitions
CN107111056A (zh) * 2014-11-11 2017-08-29 菲尼萨公司 两级绝热耦合的光子系统
US20180040597A1 (en) * 2015-08-13 2018-02-08 International Business Machines Corporation Packaging optoelectronic components and cmos circuitry using silicon-on-insulator substrates for photonics applications

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100308319B1 (ko) * 1999-08-13 2001-11-01 오길록 광 도파로를 집적한 반도체 광 증폭기소자 및 그 제조방법
US20060177173A1 (en) 2005-02-04 2006-08-10 Sioptical, Inc. Vertical stacking of multiple integrated circuits including SOI-based optical components
WO2011136741A1 (en) * 2010-04-29 2011-11-03 Agency For Science, Technology And Research An optical arrangement and a method of forming the same
WO2013086047A1 (en) * 2011-12-06 2013-06-13 Cornell University Integrated multi-chip module optical interconnect platform
WO2013095426A1 (en) 2011-12-21 2013-06-27 Intel Corporation Fabrication of planar light-wave circuits (plcs) for optical i/o
US8983293B2 (en) * 2012-04-25 2015-03-17 Ciena Corporation Electro-optical switching fabric systems and methods
US10126512B2 (en) * 2014-09-11 2018-11-13 Taiwan Semiconductor Manufacturing Co., Ltd. Differential silicon interface for dielectric slab waveguide
US9864138B2 (en) * 2015-01-05 2018-01-09 The Research Foundation For The State University Of New York Integrated photonics including germanium
WO2016112296A1 (en) 2015-01-08 2016-07-14 Acacia Communications, Inc. Horizontal coupling to silicon waveguides
US9933566B2 (en) 2015-11-13 2018-04-03 Cisco Technology, Inc. Photonic chip with an evanescent coupling interface
US9671557B1 (en) * 2016-03-04 2017-06-06 Inphi Corporation Vertical integration of hybrid waveguide with controlled interlayer thickness
WO2019066869A1 (en) * 2017-09-28 2019-04-04 Intel Corporation COMMON PACKAGING USING SILICON PHOTONIC HYBRID PLANAR LIGHT CURRENT CIRCUIT
US10746923B2 (en) * 2018-06-27 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic semiconductor device and method
US10481328B1 (en) * 2018-10-16 2019-11-19 Honeywell International Inc. Optical phased array with integrated secondary optics
US10468849B1 (en) * 2018-11-30 2019-11-05 Mcmaster University Hybrid optical waveguides of tellurium-oxide-coated silicon nitride and methods of fabrication thereof
CN110109083B (zh) * 2019-05-05 2023-06-27 上海交通大学 基于一维光相控阵的三维扫描激光雷达
US10823913B1 (en) * 2019-09-27 2020-11-03 The Charles Stark Draper Laboratory, Inc. Optical switch controllable by vertical motion MEMS structure

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105336748A (zh) * 2012-01-18 2016-02-17 斯考皮欧技术有限公司 Cmos电子器件与光子器件的垂直集成
CN104335088A (zh) * 2012-07-13 2015-02-04 华为技术有限公司 带有源结构和无源结构的光子电路的制造工艺
CN103915390A (zh) * 2013-01-08 2014-07-09 国际商业机器公司 具有公共栅极的光子器件和cmos器件及其制造方法
US20150378096A1 (en) * 2013-06-25 2015-12-31 Globalfoundries Inc. Integration of optical components in integrated circuits
CN104111494A (zh) * 2014-06-19 2014-10-22 中国科学院半导体研究所 基于氮化硅波导和微环的模式-波长复用器件的制备方法
CN107111056A (zh) * 2014-11-11 2017-08-29 菲尼萨公司 两级绝热耦合的光子系统
US20180040597A1 (en) * 2015-08-13 2018-02-08 International Business Machines Corporation Packaging optoelectronic components and cmos circuitry using silicon-on-insulator substrates for photonics applications
US20170212304A1 (en) * 2016-01-21 2017-07-27 Huawei Technologies Canada Co., Ltd. Photonic Platform Having Light-Transferring Interlayer Transitions

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11614592B2 (en) 2020-01-22 2023-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
CN113156578B (zh) * 2020-01-22 2023-06-20 台湾积体电路制造股份有限公司 半导体器件和制造方法
CN113156578A (zh) * 2020-01-22 2021-07-23 台湾积体电路制造股份有限公司 半导体器件和制造方法
CN113253490A (zh) * 2020-02-11 2021-08-13 台湾积体电路制造股份有限公司 半导体器件和其形成方法
CN113341501A (zh) * 2020-03-02 2021-09-03 台湾积体电路制造股份有限公司 集成芯片及其形成方法
CN113341501B (zh) * 2020-03-02 2023-10-10 台湾积体电路制造股份有限公司 集成芯片及其形成方法
CN113804291A (zh) * 2020-06-15 2021-12-17 格芯(美国)集成电路科技有限公司 耦合至一个或多个光电检测器的多个波导
CN113804291B (zh) * 2020-06-15 2024-05-28 格芯(美国)集成电路科技有限公司 耦合至一个或多个光电检测器的多个波导
CN113484948A (zh) * 2020-06-19 2021-10-08 台湾积体电路制造股份有限公司 半导体结构及其制造方法
CN113534339A (zh) * 2020-06-29 2021-10-22 台湾积体电路制造股份有限公司 半导体结构及其制造方法
US11740412B2 (en) 2020-06-29 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Structure including waveguide and isolation space
CN114442223A (zh) * 2020-11-02 2022-05-06 格芯(美国)集成电路科技有限公司 具有隔离吸收器的多模光波导结构
CN114690310A (zh) * 2020-12-30 2022-07-01 格芯(美国)集成电路科技有限公司 包括凹槽膜的边缘耦合器
US11860414B2 (en) 2020-12-30 2024-01-02 Globalfoundries U.S. Inc. Edge couplers including a grooved membrane
CN114690310B (zh) * 2020-12-30 2024-03-08 格芯(美国)集成电路科技有限公司 包括凹槽膜的边缘耦合器
CN114910998A (zh) * 2021-02-08 2022-08-16 格芯(美国)集成电路科技有限公司 具有多层级式的层的混合边缘耦合器
CN114910998B (zh) * 2021-02-08 2023-12-19 格芯(美国)集成电路科技有限公司 具有多层级式的层的混合边缘耦合器
CN114966978B (zh) * 2021-02-19 2024-03-15 格芯(美国)集成电路科技有限公司 具有氮化硅波导边缘耦合器的光子集成电路
CN114966978A (zh) * 2021-02-19 2022-08-30 格芯(美国)集成电路科技有限公司 具有氮化硅波导边缘耦合器的光子集成电路
CN115390197A (zh) * 2021-05-25 2022-11-25 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN115248477A (zh) * 2021-07-06 2022-10-28 台湾积体电路制造股份有限公司 封装件及其形成方法
WO2023193780A1 (zh) * 2022-04-08 2023-10-12 南京光智元科技有限公司 转接板、光芯片封装、计算加速器及其制造方法

Also Published As

Publication number Publication date
US20200003950A1 (en) 2020-01-02
KR102307165B1 (ko) 2021-10-05
TWI740168B (zh) 2021-09-21
DE102019117173A1 (de) 2020-01-02
TW202017195A (zh) 2020-05-01
US10746923B2 (en) 2020-08-18
KR20200001557A (ko) 2020-01-06
DE102019117173B4 (de) 2024-06-06
US20210018678A1 (en) 2021-01-21
US11156772B2 (en) 2021-10-26
CN110648974B (zh) 2021-04-27

Similar Documents

Publication Publication Date Title
CN110648974B (zh) 光子器件和形成光子器件方法
CN109860135B (zh) 混合互连器件和方法
US11830864B2 (en) Photonic semiconductor device and method
US11852868B2 (en) Photonic semiconductor device and method of manufacture
US20210096311A1 (en) Photonic semiconductor device and method of manufacture
US12038599B2 (en) Photonic package and method of manufacture
US12044892B2 (en) Package structure including photonic package and interposer having waveguide
KR20210154086A (ko) 포토닉 반도체 디바이스 및 그 제조 방법
US20220382003A1 (en) Photonic Semiconductor Device and Method of Manufacture
US20230417993A1 (en) Photonic Package and Method of Manufacture
US20240085610A1 (en) Photonic Package and Method of Manufacture
US20240113056A1 (en) Semiconductor device and methods of manufacture
CN117369061A (zh) 封装件及其制造方法
CN117457625A (zh) 封装件、半导体封装件及其形成方法
CN118173645A (zh) 半导体器件及其制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant