CN110461970A - 抛光组合物及其使用方法 - Google Patents

抛光组合物及其使用方法 Download PDF

Info

Publication number
CN110461970A
CN110461970A CN201880020925.9A CN201880020925A CN110461970A CN 110461970 A CN110461970 A CN 110461970A CN 201880020925 A CN201880020925 A CN 201880020925A CN 110461970 A CN110461970 A CN 110461970A
Authority
CN
China
Prior art keywords
composition
weight
amino
compound
polysilicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880020925.9A
Other languages
English (en)
Other versions
CN110461970B (zh
Inventor
A·P·列昂诺夫
A·米什拉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujifilm Electronic Materials Co Ltd
Fujifilm Planar Solutions LLC
Original Assignee
Fujifilm Electronic Materials Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujifilm Electronic Materials Co Ltd filed Critical Fujifilm Electronic Materials Co Ltd
Priority to CN202210053475.1A priority Critical patent/CN114456717A/zh
Publication of CN110461970A publication Critical patent/CN110461970A/zh
Application granted granted Critical
Publication of CN110461970B publication Critical patent/CN110461970B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/02Etching, surface-brightening or pickling compositions containing an alkali metal hydroxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

本发明提供化学机械抛光组合物及用于以高移除速率抛光多晶硅膜的方法。所述组合物包括1)磨料;2)至少一种结构(I)的化合物;3)至少一种结构(II)的化合物;及4)水;其中,所述组合物不包括氢氧化四甲基铵或其盐。结构(I)及(II)中的变量n、R1‑R7、X、Y及Z1‑Z3在本专利说明书中进行定义。这些化学机械抛光组合物中的结构(I)与(II)的化合物的协同效应导致在抛光期间高的多晶硅膜材料移除速率。

Description

抛光组合物及其使用方法
技术领域
本发明涉及一种抛光组合物及使用本文所描述的组合物来抛光半导体基材的方法。更特别的是,本发明涉及一种化学机械抛光组合物及用于从半导体基材移除多晶硅层的方法。
背景技术
在半导体工业中,在称为化学机械抛光/平坦化(CMP)的工序中使用化学机械抛光组合物。与光刻图形化及沉积一起,CMP为集成电路(IC)制造程序流程的三个关键使能流程步骤之一。现代ICs为以并列方式,通常一次数百个,建立在共同硅晶圆基材的表面上。光刻、沉积、CMP及多个辅助步骤被反复应用于进化IC结构位于其中的晶圆表面,直到最终IC器件完成及准备好将晶圆切割成个体晶粒(芯片)用于封装。
发明内容
在此技术工序流程中,CMP步骤的一个目的为将来自前述沉积步骤的覆盖层减少至由集成方案所决定的特定层厚度并产生平坦的晶圆表面,以便能够进行随后的光刻步骤。CMP通过在机械抛光机中抛光该晶圆表面达成此目的。该抛光处理包括将晶圆保持在转动夹盘(称为抛光头)中,及使用预选压力(下压力)将晶圆压在抛光台(平台)上转动的柔性、毛毡状抛光垫上,同时在该晶圆表面与抛光垫间施加含磨料的浆体(即,化学机械抛光组合物)。
对于基于特定化学机械抛光组合物的CMP工艺,一些通常想要的性能度量标准为:1)与晶圆厂通量效率相关的高移除速率;2)与CMP工艺在该器件层堆叠体内的经选择作为停止层的某些材料上停止的能力相关的高抛光选择性;3)与提高最后器件产率相关的低水平缺陷(刮伤、碎屑、剩余的抛光颗粒);及4)与为了随后的光刻步骤被适当地平坦化的所产生的晶圆相关的在该晶圆表面上的均匀材料移除。在晶粒内及在晶圆上的均匀材料移除对晶粒内及晶圆器件内性能再现性及可靠性也是重要的。
多结晶硅或多晶硅(P-Si)为一种广泛用于半导体工业中IC制造的材料,在逻辑集成方案及内存集成方案中发挥不同作用,其范围取决于掺杂程度为从导体至绝缘体。在用于逻辑应用的集成方案中,广泛用作MOSFET/FinFET型器件的栅电极材料。在内存方面,多晶硅作为电容器、栅极及接触插塞结构的零件,如例如可在DRAM集成电路中看见。氮化硅(SiN)为另一种常见用于IC制造的材料。它是在由于多功能或工艺限制而无法使用默认电介质材料即氧化硅的情况中使用的多功能性电介质。在半导体工业的逻辑方面,广泛使用氮化硅作为栅极绝缘材料;在内存方面,特别在DRAM中,它通常用作电容器材料。US4897702给出以上述性能使用P-Si及SiN的DRAM(内存型)器件的实施例。
对现代DRAM工序流程中的多晶硅CMP的需求之一为在低至中下压压力(<3磅/平方英寸)下的高多晶硅移除速率(例如,>8000埃/分钟)。高多晶硅移除速率因需要在短处理时间内移除极高多晶硅覆盖层来决定。减少抛光处理时间是提高晶圆厂制造通量效率的重要方法。为了驱使最小化与使用较高下压压力相关的刮伤缺陷及最大化保留该功能性停止层厚度/对于器件性能关键的预算,需要相对低的下压压力。
在DRAM应用的情况中,当该停止层通常为氮化硅时,对该DRAM多晶硅CMP工艺的另一种需求为高多晶硅对氮化硅移除速率选择性(例如,>100:1)。此程度的速率选择性保证在该DRAM多晶硅CMP步骤期间能快速移除多晶硅直到曝露出下面的氮化硅层,且在此时停止该抛期间快速移除多晶硅直到曝露出下面的氮化硅层,且在此时该抛光工艺被停止且氮化硅层损失最小。需要保留/最小化SiN损失以保证该器件由SiN电介质适当地覆盖/包封。因此,此改善该DRAM器件的电性能及整体性能。
除了高多晶硅移除速率及低氮化硅移除速率外,半导体工业对多晶硅化学机械抛光组合物提出了一系列额外挑战性需求。这些额外需求包括:1)低健康危害,以促进工作场所处的化学安全性;及2)低购置成本(COO),或简单来说,低化学机械抛光组合物成本,通过制成可稀释的组合物来达成。响应于持续努力降低成本及改善商业效率,购置成本(COO)已经成为化学机械抛光组合物的重要商业考虑。
改善化学机械抛光组合物的COO的重要方法为制成可稀释的组合物,如此该化学机械抛光组合物供货商提供浓缩形式的抛光组合物,顾客在使用前将该化学机械抛光组合物稀释至具体指定的稀释水平。通常的稀释水平广泛地从2X变化至在某些情况中大于10X。
关于健康及化学安全性,降低员工曝露至化学危害物及有毒物质可保证化学安全性及使工作场所的负面健康效应最小化。在美国,由OSHA危害通信标准29CFR1910.1200批准的危险物质分类的全球调和制度(GHS)对了解及传递与多种化学物质相关的健康危害程度提供框架。具有急性毒性的化学物质被进行归纳分类,其中类别1指示出最高健康危害,而类别5指示出最低健康危害。
实际上排除的物质有分类为GHS类别1及2的致癌物、GHS类别1及2的生殖危害因子、具有GHS类别1及2的急性毒性物质、及GHS类别1及2的致敏物质。半导体工业的耗品供货商的实质压力为配制出排除上述列出的GHS类别的危险物质的产品。
半导体制造商持续在其晶圆厂中,特别是,在其CMP模块中限制危险化学物质的使用。CMP模块对危险化学物质特别敏感,因为有非常少的工程控制来防治释放至废水流的危险化学物质量(如与蚀刻、光刻等等相反)。通常来说,CMP抛光机设备具有连接至公共废水流的其管道系统。一旦晶圆厂抛光晶圆,抛光后的CMP浆体会被释放至公共废水流。因此,这些危险化学物质是污染晶圆厂附近的公共废水的原由。每个城市的环境卫生及安全(EHS)部门按每天检测这些晶圆厂排出的废水。因此,所有晶圆厂都期望在供货商的CMP浆体中不暴露危险化学物质。其他模块诸如蚀刻模块会分离化学废弃物,然后,可将其送至场外以进行适合的化学处理。就此而论,它们通常不会面对CMP供货商所面对的环境问题。
除了纳米粒子磨料外,已知的多晶硅化学机械抛光组合物的组分为通过与多晶硅膜的化学反应来促进多晶硅移除速率的化学添加剂。传统及历史上,氢氧化四甲基铵(TMAH)为在被设计用于高多晶硅及单晶硅移除速率的化学机械抛光组合物中选择的化学添加剂。例如,美国专利申请公开号2009/0156008(Sakamoto等人)描述了一种在使用点(POU)处包含约0.28%TMAH的化学机械抛光组合物,其阐明单晶硅材料移除速率为约10,000埃/分钟。美国专利号8,697,576(Reiss等人)描述了一种在POU处包含0.25%TMAH的多晶硅化学机械抛光组合物,其阐明多晶硅移除速率为约4,500埃/分钟。
虽然TMAH为有效率的多晶硅及单晶硅移除速率促进剂,但其被分类为具有急性口及皮肤毒性(GHS类别2)及具有特定目标器官(例如,中枢神经系统、胸腺或肝)毒性(GHS类别1)的物质。具有三种结合死亡事故的多个引人注目的工业意外中都涉及TMAH[Lin,Chun-Chi等人,2010,Clinic Toxicology(48),3,213-217]。因此,想要一种用于多晶硅CMP且不含TMAH的化学机械抛光组合物。许多晶圆厂还抱怨关于TMAH进入其城市废水流以及城市官员要求在晶圆厂的CMP浆体模块中禁止TMAH。
本发明提供一种化学机械抛光制剂及其使用方法,其展示非常高的多晶硅移除速率(例如,超越8000埃/分钟),及相对于氮化硅的高选择性(例如,具有多晶硅对氮化硅移除速率比率>100:1)。再者,本文中所描述的化学机械抛光组合物的大部分组分通常具低健康危害(例如,分类为GHS类别3或以上)。在某些具体实例中,本发明的化学机械抛光组合物不包含半导体晶圆厂的CMP模块中不准许的健康危害化合物(例如,GHS类别1及2的致癌物、GHS类别1及2的生殖危害因子、具有GHS类别1及2的急性毒性物质及GHS类别1及2的敏化剂)。此外,本发明的化学机械抛光组合物通过可被稀释至少2X来提供低购置成本(COO)。
在某些具体实例中,本发明的特征为一种化学机械抛光组合物,其包括1)至少一种磨料;
2)至少一种结构(I)的化合物:
其中n为0、1、2或3;X及Y各自独立地为O(Ra)、CH2(Ra)或NH(Ra),其限制条件为X及Y的至少一个(例如,二者)为O(Ra)或NH(Ra),其中每个Ra独立地为H或任选地经羟基或NH2取代的C1-C3烷基;及R1-R6各自独立地为H、OH或任选地经OH或NH2取代的C1-C3烷基;3)至少一种结构(II)的化合物:
其中Z1及Z2各自独立地为-CR8-或-N-,其中R8为H、N(Rb)2、COOH、C1-C3烷基,每个Rb独立地为H或C1-C3烷基;或Z1及Z2一起形成与结构(II)中的5元环融合的5-6元环;Z3为-C-或-N-;及R7为H、COOH、C1-C3烷基或N(R9)2,其中每个R9独立地为H或C1-C3烷基,其限制条件为当Z3为-N-时,R7被删除;及4)水。该组合物不包括氢氧化四甲基铵或其盐。
在某些具体实例中,本发明的特征为用于通过使用本文所描述的化学机械抛光组合物来处理多晶硅膜来抛光晶圆基材表面上曝露的多晶硅膜的方法。此方法可例如通过下列步骤进行:A)将晶圆放置在配备有抛光垫的抛光机中;及B)在本文所描述的化学机械抛光组合物存在下,使用该抛光垫抛光晶圆。
具体实施方式
在本发明中所提出的化学机械抛光组合物包括通常不溶于水的磨料。因此,本发明的组合物可指为浆体。为了本发明的目的,用语”组合物”及”浆体”与”这些组合物”及”这些浆体”可互换地使用。
本文中所描述的化学机械抛光组合物提供高多晶硅移除速率(例如,至少8000埃/分钟)及高多晶硅对氮化硅移除速率选择性(至少50:1),同时使用特征为具有低健康危害的组分。本发明的化学机械抛光组合物可用作包含氢氧化四甲基铵(TMAH)作为多晶硅移除速率促进剂的类似产品的替代,其中TMAH由于其高毒性是公认的健康危害物。
不希望受理论限制,据信由本发明的化学机械抛光组合物所提供的高多晶硅移除速率(至少8000埃/分钟)及/或高多晶硅对氮化硅移除速率比率(例如,至少50:1)是由于在抛光期间结构(I)的化合物与结构(II)的化合物间的协同效应达成。虽然此效应的机制未完全清楚,如本文中的实施例阐明,当在该抛光制剂中存在有这两种组分时,观察到高多晶硅移除速率及/或高多晶硅对氮化硅移除速率比率。在某些具体实例中,若省略任一种时,所产生的多晶硅移除速率及/或多晶硅对氮化硅移除速率比率可被明显降低。
在某些具体实例中,本发明的特征为一种化学机械抛光组合物,其包括1)至少一种磨料;2)至少一种结构(I)的化合物:
其中n为0、1、2或3;X及Y各自独立地为O(Ra)、CH2(Ra)或NH(Ra),其限制条件为X及Y的至少一个(例如,二者)为O(Ra)或NH(Ra),其中每个Ra独立地为H或任选地经羟基或NH2取代的C1-C3烷基;及R1-R6各自独立地为H、OH或任选地经OH或NH2取代的C1-C3烷基;3)至少一种结构(II)的化合物:
其中Z1及Z2各自独立地为-CR8-或-N-,其中R8为H、N(Rb)2、COOH、C1-C3烷基,每个Rb独立地为H或C1-C3烷基;或Z1及Z2一起形成与结构(II)中的5元环融合的5-6元环;Z3为-C-或-N-;及R7为H、COOH、C1-C3烷基或N(R9)2,其中每个R9独立地为H或C1-C3烷基,其限制条件为当Z3为-N-时,R7被删除;及4)水。该组合物不包括氢氧化四甲基铵或其盐。
如本文中所使用,用语”C1-3烷基”指为饱和烃基团,其可为直链或支链且可具有1至3个碳,诸如甲基、乙基、丙基或异丙基。
在某些具体实例中,式(I)中的n为0。在此具体实例中,Y可为NH(Ra),其中Ra为H或任选地经羟基取代的C1-C3烷基(例如,羟基乙基);X可为O(Ra),其中Ra为H或任选地经羟基取代的C1-C3烷基(例如,羟基乙基);R1可为H;R2可为H或C1-C3烷基(例如,甲基或乙基);R3可为H;及R4可为H或C1-C3烷基(例如,甲基)。在某些具体实例中,当n为0及R1-R4各自为H时,X及Y的至少一个为OH。
在某些具体实例中,式(I)中的n为1。在此具体实例中,Y可为NH(Ra),其中Ra为任选地经羟基取代的C1-C3烷基(例如,羟基乙基);X可为OH或NH2;R1可为H;R2可为H;R3可为H;R4可为H;R5可为H或C1-C3烷基(例如,甲基);及R6可为H、OH及或C1-C3烷基(例如,甲基或乙基)。
在某些具体实例中,式(I)中的R1-R4或R1-R6的至多一个为OH或任选地经OH或NH2取代的C1-C3烷基。例如,当式(I)中的n为0、X为O(Ra)及Y为NH(Ra)时,R1-R3可为H及R4可为任选地经OH或NH2取代的C1-C3烷基(例如,甲基或乙基)。这种胺的实施例包括1-氨基-2-丙醇及1-氨基-2-丁醇。在某些具体实例中,当X为NH(Ra)及Y为O(Ra)时,R3及R4之一为OH或任选地经OH或NH2取代的C1-C3烷基;及R3及R4的另一个为H。
在某些具体实例中,该结构(I)的化合物为氨基醇。预期在本发明的实践中使用的示例性氨基醇包括但不限于单乙醇胺、二乙醇胺、1-氨基-2-丙醇、1-氨基-2-丁醇、1,3-二氨基-2-丙醇、3-氨基-1,2-丙二醇、3-氨基-1-丙醇、2-(2-氨基乙氧基)乙醇、2-氨基-3-甲基-1-丁醇、5-氨基-1-戊醇等。
在某些具体实例中,该结构(I)的化合物为二胺。预期在本发明的实践中使用的示例性二胺包括但不限于2,2-二甲基-1,3-丙二胺、1,3-二氨基戊烷、2-(3-氨丙基胺)乙醇等。
在某些具体实例中,该结构(I)的化合物的量为浓缩形式或稀释的使用点(POU)浆体形式的CMP组合物的至少约0.1重量%(例如,至少约0.25重量%,至少约0.5重量%,至少约0.6重量%,至少约0.7重量%,至少约0.8重量%,至少约0.9重量%,至少约1重量%,至少约1.25重量%,至少约1.5重量%,至少约1.75重量%,至少约2重量%,或至少约2.5重量%,或至少约3重量%)至至多约15重量%(例如,至多约12.5重量%,至多约10重量%,至多约7.5重量%,至多约5重量%,至多约4重量%,至多约3重量%,或至多约2重量%)。
在某些具体实例中,当式(II)中的Z3为-C-时,Z1及Z2之一可为-N-。在此具体实例中,Z1可为-N-;Z2可为-CR8-,其中R8为H或NH2;及R7可为NH2或COOH。在某些具体实例中,当式(II)中的Z3为-C-时,Z1及Z2二者可为-N-。在此具体实例中,R7可为NH2
在某些具体实例中,该结构(II)的化合物为三唑。预期在本发明的实践中使用的示例性三唑包括但不限于苯并三唑、3-氨基-1,2,4-三唑、3,5-二氨基-1,2,4-三唑、1,2,4-三唑-3-羧酸等。
在某些具体实例中,该结构(II)的化合物为四唑。预期在本发明的实践中使用的示例性四唑包括但不限于5-(氨基甲基)四唑、5-甲基-1H-四唑、5-氨基四唑(ATA)、其水合物(例如,单水合物)等。
要了解的是,该结构(II)的唑类的互变异构形式在本文描述的水性化学机械抛光组合物中会快速地互相转换,因此彼此相当。预期在本文所描述的CMP组合物中使用本文所描述的所有唑类的互变异构形式。
在某些具体实例中,该结构(II)的化合物的量为浓缩形式或稀释的使用点(POU)浆体形式的CMP组合物的至少约0.1重量%(例如,至少约0.2重量%,至少约0.3重量%,至少约0.4重量%,至少约0.5重量%,至少约0.6重量%,至少约0.7重量%,至少约0.8重量%,至少约0.9重量%,至少约1重量%,至少约1.25重量%,至少约1.5重量%,至少约1.75重量%,或至少约2重量%)至至多约15重量%(例如,至多约12.5重量%,至多约10重量%,至多约7.5重量%,至多约5重量%,至多约4重量%,至多约3重量%,至多约2重量%,至多约1重量%,至多约0.9重量%,至多约0.8重量%,至多约0.7重量%,至多约0.6重量%,或至多约0.5重量%)。
在某些具体实例中,预期使用的磨料包括氧化铝、煅制氧化硅、硅胶、涂布的粒子、二氧化钛、二氧化铈、氧化锆及其任何组合。在某些具体实例中,该磨料为硅胶。
此外,预期使用的二氧化硅粒子包括未经表面改性的溶胶凝胶衍生硅胶,及经表面改性的溶胶凝胶衍生硅胶。所述经表面改性的二氧化硅可为阴离子二氧化硅或阳离子二氧化硅。所述二氧化硅粒子可包括具有特征为一级粒子直径d1的等向性球形形态的硅胶粒子,或具有特征为一级直径d1及二级粒子直径d2的聚集体形态(主要为融合的二聚物及三聚物)的硅胶粒子。在某些具体实例中,所述二氧化硅形态型为具有小于80纳米但是大于10纳米的一级粒子直径d1及小于160纳米但是大于20纳米的二级粒子直径d2的聚集体形态。
如在实例中阐明,据信本文所描述的化学机械抛光组合物具有高性能的原由的重要因素为结构(I)与结构(II)的化合物的预料不到的的协同作用。
在某些具体实例中,该磨料的量为浓缩形式或稀释的使用点(POU)浆体形式的CMP组合物的至少约0.0.05重量%(例如,至少约0.10重量%,至少约0.25重量%,至少约0.5重量%,至少约0.75重量%,至少约1重量%,至少约1.25重量%,至少约1.5重量%,至少约1.75重量%,至少约2重量%,至少约2.5重量%,或至少约3重量%)至至多约20重量%(例如,至多约15重量%,至多约12.5重量%至多约10重量%,至多约7.5重量%,至多约5重量%,至多约4重量%,至多约3重量%,至多约2重量%,或至多约1重量%)。
在某些具体实例中,本文中所描述的化学机械抛光组合物可包括至少一种氨基膦酸。合适的氨基膦酸实施例包括但不限于乙二胺四(亚甲基膦酸)、氨基三(亚甲基膦酸)(亦指为氨基三(甲烷膦酸))、二伸乙基三胺五(亚甲基膦酸)及其盐。所述氨基膦酸的可商业购得的实例包括可从Italmatch Chemicals S.P.A.(Arese,意大利)获得的DEQUEST系列产品。在某些具体实例中,该氨基膦酸的量为浓缩形式或稀释的使用点(POU)浆体形式的CMP组合物的至少约0.01重量%(例如,至少约0.05重量%,至少约0.1重量%,至少约0.25重量%,至少约0.5重量%,至少约0.75重量%,或至少约1重量%)至至多约5重量%(例如,至多约4重量%,至多约3重量%,至多约2重量%,或至多约1重量%)。不希望受理论限制,据信该氨基膦酸可进一步提高本文中所描述的CMP组合物的性能(例如,多晶硅移除速率、多晶硅对氮化硅移除选择性或二者)。
在某些具体实例中,本文中所描述的化学机械抛光组合物可进一步包括一种或多种低健康危害的盐,包括两性离子(例如,分类为GHS类别3或以上)。在某些具体实例中,所述盐可包括磺酸盐基团、氨基、羧酸盐基团或四烷基铵基团,其中包含四烷基铵基团的盐与氢氧化四甲基铵的盐不同。可使用于此目的的盐的实例包括但不限于多种氨基酸,诸如甘氨酸、牛磺酸、氢氧化胆碱等。在某些具体实例中,该盐的量为浓缩形式或稀释的使用点(POU)浆体形式的本文中所描述的CMP组合物的至少约0.05重量%(例如,至少约0.1重量%,至少约0.2重量%,至少约0.3重量%,至少约0.4重量%,至少约0.5重量%,至少约0.6重量%,至少约0.7重量%,至少约0.8重量%,至少约0.9重量%,或至少约1重量%)至至多约5重量%(例如,至多约4重量%,至多约3重量%,至多约2重量%,至多约1.9重量%,至多约1.8重量%,至多约1.7重量%,至多约1.6重量%,至多约1.5重量%,至多约1.4重量%,至多约1.3重量%,至多约1.2重量%,至多约1.1重量%,或至多约1重量%)。在某些具体实例中,该盐浓度的上限可为该制剂的2X可稀释浓缩物的浓度。在某些具体实例中,甘氨酸的浓度可为约0.75重量%,氢氧化胆碱的浓度可为约0.5重量%。不希望受理论限制,据信该盐可进一步提高本文中所描述的CMP组合物的性能(例如,多晶硅移除速率、多晶硅对氮化硅移除选择性或二者)。
浓缩能力(还称为“稀释能力”)为由半导体制造商对任何现代化学机械抛光组合物设定的意欲降低制造耗材的购置成本(COO)的需求之一。本文中所描述的化学机械抛光组合物可用作至少2X可稀释浓缩混合物。即,该化学机械抛光组合物在由最终用户使用前可稀释至少2倍(例如,至少3倍,至少4倍,至少5倍或至少10倍)。本发明的化学机械抛光组合物可包括2X浓缩组合物混合物中的0.05-20重量百分比(例如,2-3重量百分比)磨料。
此外,在某些具体实例中,本发明的化学机械抛光组合物可包括作为任选组分的一种或多种添加剂,诸如,pH值调整剂、腐蚀抑制剂、界面活性剂、有机溶剂及除泡剂。
在某些具体实例中,本发明的化学机械抛光组合物包括至少一种pH值调整剂。所述pH值调整剂可将该化学机械抛光组合物带入操作时的pH值范围。预期使用的多种碱性pH值调整剂包括但不限于氢氧化钾、氢氧化铵、氢氧化钠、氢氧化铯、三乙醇胺、氢氧化四丁基铵或其任何组合。
在某些具体实例中,该水的量为浓缩形式或稀释的使用点(POU)浆体形式的CMP组合物的至少约50重量%(例如,至少约55重量%,至少约60重量%,至少约65重量%,至少约70重量%,至少约75重量%,至少约80重量%,至少约85重量%,至少约90重量%,至少约95重量%或至少约97重量%)至至多约99重量%(例如,至多约95重量%,至多约90重量%,至多约85重量%,至多约75重量%,至多约70重量%,至多约65重量%,至多约60重量%或至多约55重量%)。
在某些具体实例中,本发明的CMP组合物可具有至少约7的pH值(例如,至少约7.5,至少约8,至少约8.5,至少约9,至少约9.5,或至少约10)至至多12(例如,至多约11.5,至多约11,至多约10.5,或至多约10)。不希望受理论限制,据信不具有上述pH值的CMP组合物会无法达成高多晶硅移除速率,高多晶硅对氮化硅移除速率比率或二者。
在某些具体实例中,当本发明的CMP组合物经稀释时,可将至少一种氧化剂加入该组合物中。预期用于本发明的组合物中的氧化剂包括但不限于过氧化氢、过硫酸铵、硝酸银(AgNO3)、硝酸铁或氯化铁、过酸或其盐、臭氧水、铁氰化钾、重铬酸钾、碘酸钾、溴酸钾、三氧化二钒、次氯酸、次氯酸钠、次氯酸钾、次氯酸钙、次氯酸镁、硝酸铁、KMnO4、其他无机或有机过氧化物、或其混合物。在某些具体实例中,所述氧化剂可为过氧化氢。该氧化剂可以浓缩形式或稀释的使用点(POU)浆体形式的组合物的约0.1重量%至约5重量%(例如,约0.4重量%至约2重量%)的量存在。在某些具体实例中,本文中所描述的CMP组合物可排除上述氧化剂。
在某些具体实例中,本发明的CMP组合物可排除某些组分,诸如氢氧化四甲基铵或其盐、氧化剂(诸如上述那些)、碳酸氢盐(例如,碳酸氢钾或碳酸氢铵)、碳酸盐(例如,碳酸胍)、分类为GHS类别1或2的健康危险化学物质(例如,乙二胺、哌嗪、1,3-二烷基丙烷、咪唑、1,2,4-三唑或3-氨基-1,2,4-三唑),及/或具有低于50℃的沸点的化合物(例如,丙胺或异丙胺)。
通常来说,本发明的CMP组合物可具有相对高的多晶硅移除速率。在某些具体实例中,本发明的CMP组合物可具有至少约8,000埃/分钟(例如,至少约8,500埃/分钟,至少约9,000埃/分钟,至少约9,500埃/分钟,至少约10,000埃/分钟,至少约10,500埃/分钟,至少约11,000埃/分钟,至少约11,500埃/分钟或至少约12,000埃/分钟)至至多约15,000埃/分钟(例如,至多约14,000埃/分钟或至多约13,000埃/分钟)的多晶硅移除速率。如本文中提到,该多晶硅移除速率为在2.5磅/平方英寸的抛光下压压力下进行测量。
通常来说,本发明的CMP组合物可具有相对高的多晶硅对氮化硅移除选择性(例如,相对高的多晶硅对氮化硅移除速率比率)。在某些具体实例中,本发明的CMP组合物可具有至少约50:1(例如,至少约75:1,至少约100:1,至少约110:1,至少约120:1,至少约130:1,至少约140:1,或至少约150:1)至至多约200:1(例如,至多约180:1或至多约150:1)的多晶硅对氮化硅移除速率比率。如本文中提到,该多晶硅对氮化硅移除速率比率/选择性为在2.5磅/平方英寸的抛光下压压力进行测量。
通常来说,在本发明中所描述的CMP组合物的组分(例如,磨料、结构(I)及(II)的化合物、氨基膦酸及添加剂)可从商业来源获得或可通过本领域已知的方法合成。
在某些具体实例中,本发明的特征为用于抛光晶圆基材表面上曝露的多晶硅膜的方法,包括以本文所描述的化学机械抛光组合物处理该多晶硅膜的步骤。此方法可例如通过下列步骤进行:
A)将晶圆放置在配备有抛光垫的抛光机中;
B)在本文所描述的化学机械抛光组合物存在下,使该晶圆与该抛光垫接触。在某些具体实例中,在用该CMP组合物处理或接触该多晶硅膜前,可用DI水稀释该CMP组合物(例如,至少2倍,至少3倍,至少4倍,至少5倍或至少10倍)。在上述CMP方法中所使用的其他组分及/或步骤在下列被描述或使现有技术中已知的。
本文中所引用的全部出版物(例如,专利、专利公开申请及论文)的内容通过引用整体并入本文。
下列实施例意欲进一步阐明本发明的主题及决不应该解释为本发明的限制。
实施例
下列实施例中的化学机械抛光组合物根据伴随每个实施例的描述进行制备。如下使用来自下列实施例的每种化学机械抛光组合物进行抛光测试以获得多晶硅及氮化硅移除速率。
各自使用配备有可从Dow Chemical公司获得的VISIONPADTM6000抛光垫的Applied Materials Mirra抛光机,使用2.5磅/平方英寸的下压力、化学机械抛光组合物流速200毫升/分钟、平台转动速度120rpm及载体头转动速度114rpm,抛光二百毫米的多晶硅和氮化硅晶圆60秒。通过从抛光前覆层晶圆上的硅或氮化硅膜厚度减去抛光后相同覆层晶圆上的膜厚度并使所述差除以抛光时间来确定硅及氮化硅材料移除速率。该膜厚度值为使用Filmetrics F80C偏振光椭圆计仪器在该晶圆上所取得的29个膜厚度测量值的平均值。通过将使用所讨论的化学机械抛光组合物抛光相应的覆层晶圆获得的多晶硅移除速率除以氮化硅移除速率来确定每种化学机械抛光组合物的多晶硅对氮化硅移除速率比率。
实施例1
该实施例比较可不包含结构(I)的化合物的比较例化学机械抛光组合物(即,C-1A至C-1D,表1.1)与本发明的化学机械抛光组合物(即,1E、1F、1G及1H)的抛光性能。
化学机械抛光组合物C-1A至C-1D及1E至1H的各自包括表1.1中列出的胺化合物1A至1H、5-氨基四唑单水合物、氨基三(甲烷膦酸)、高纯度硅胶及将该混合物的pH值调整至最终值10.8的氢氧化钾。使用来自表1.1的化学机械抛光组合物C-1A至C-1D及1E至1H在抛光测试P-1A至P-1F(比较例)与P-1G及P-1H(本发明)中确定表1.2的多晶硅及氮化硅移除速率。测试结果总结在表1.2中。
该实施例表明其中X为烷基、羟基或经取代的羟基且Y为氨基的结构(I)的胺(1E、1F、1G及1H)超过具有与结构(I)不同结构的其他胺结构的优点。
例如,表1.2中的结果表明,在所测试的化学机械抛光组合物中,包含具有结构(I)的胺(其中X为羟基乙氧基,Y为氨基且n=0,即,2-(2-氨基乙氧基)乙醇)与具有结构(II)的唑(其中Z1=Z2=N,Z3为C及R7为NH2,即,5-氨基四唑)的化学机械抛光组合物1F具有第四高的多晶硅移除速率及第五高的多晶硅对氮化硅移除速率比率。
至于另一个实施例,表1.2中的结果表明,在所测试的化学机械抛光组合物中,包含具有结构(I)的胺(其中X为羟基,Y为氨基及n=0,即,单乙醇胺)与具有结构(II)的唑(即,5-氨基四唑)的化学机械抛光组合物1G具有第三高的多晶硅移除速率及第四高的多晶硅对氮化硅移除速率比率。
表1.2中的结果还显示出在所测试的化学机械抛光组合物中,包含具有结构(I)的胺(其中X为羟基,Y为氨基且n=1,即,3-氨基-1-丙醇)与具有结构(II)的唑(即,5-氨基四唑)的化学机械抛光组合物1H具有最高的多晶硅移除速率及第二高的多晶硅对氮化硅移除速率比率。
表1.2中的结果还显示出,在该特别实施例中测试的化学机械抛光组合物中,包括具有结构(I)的胺(其中X为CH3基团,Y为氨基且n=0,即,丙胺)与具有结构(II)的唑(即,5-氨基四唑)的化学机械抛光组合物1E具有第二高的多晶硅移除速率及最高的多晶硅对氮化硅移除速率比率。
基于由胺1D例示(异丙胺)的未官能化的胺的比较例化学机械抛光组合物,诸如C-1D可产生高的多晶硅对氮化硅移除速率比率(抛光实施例P-1D,表1.2)。但是,该胺的低沸点及相关毒性及火灾危险致使这些胺不合适于化学机械抛光组合物应用。
在此实施例中,包括基于胺1D(其具有非常低沸点)的化学机械抛光组合物仅用于比较目的。一旦排除此胺,显然基于具有结构(I)(其中X为羟基,Y为氨基及n=0,即,单乙醇胺)的官能化的胺1G的化学机械抛光组合物1G展现出高于表1.2中的剩余比较例化学机械抛光组合物实施例至少25%的多晶硅移除速率及高于在表1.2中的剩余比较例化学机械抛光组合物实施例至少26%的多晶硅对氮化硅移除速率比率。类似地,基于具有结构(I)(其中X为羟基,Y为氨基及n=1,即,3-氨基-1-丙醇)的胺1-H的化学机械抛光组合物展现出高于在表1.2中的比较例化学机械抛光组合物实施例至少38%的多晶硅移除速率及高于在表1.2中的比较例化学机械抛光组合物实施例至少47%的多晶硅对氮化硅移除速率比率。再者,基于胺1-F(即,2-(2-氨基乙氧基)乙醇)的化学机械抛光组合物展现出高于在表1.2中的比较例化学机械抛光组合物实施例至少16%的多晶硅移除速率及高于在表1.2中的比较例化学机械抛光组合物实施例至少8%的多晶硅对氮化硅移除速率比率。
此实施例说明了某些结构(I)的官能化的胺,当与结构(II)的唑组合使用时,在提高多晶硅移除速率方面,当它们用于相应的化学机械抛光组合物中时,超过其他类型的胺的优点。
表1.1
1:Comp.=组合物
2:实施例1A-1D为比较例,及实施例1E、1F、1G及1H为本发明的实施例。
表1.2
实施例2
该实施例表明官能化的胺(I)的结构中的第二氨基(例如,当官能基X及Y二者都为氨基时)对多晶硅移除速率及多晶硅对氮化硅选择性的影响。
比较例化学机械抛光组合物C-2A至C-2C、本发明的化学机械抛光组合物2D至2G及本发明的化学机械抛光组合物1H(被包括在此实施例中用于比较)各自包括表2.1中显示的相应胺、5-氨基四唑、氨基三(甲烷膦酸)及高纯度硅胶。加入足够的硝酸或氢氧化钾(KOH)以将混合物的pH值调整至最终值10.8。
使用来自表2.1的化学机械抛光组合物C-2A至C-2C、2D至2G及抛光组合物1H进行多晶硅及氮化硅移除速率抛光测试P-2A至P-2G及抛光测试P-1H。抛光速率及选择性比率结果总结在表2.2中。
该实施例表明其中X及Y二者都为氨基的结构(I)的较少取代的二胺(胺2D至2G)显示出比其X为羟基且Y为氨基的结构(I)的胺,即,本发明的胺1H高至少46%的多晶硅移除速率及至少117%的硅对氮化硅移除速率比率。再者,高取代的比较例二胺C-2A至C-2C显示出比其中X为羟基且Y为氨基的结构(I)的未经取代的胺,即,本发明的胺1H低至少46%的多晶硅移除速率。
在表2.2中,在所有比较例及本发明实施例当中,基于具有结构(I)的未经取代的二胺2D(其中X及Y二者都为氨基,即,乙二胺)的本发明的化学机械抛光组合物2D展现出最高的多晶硅移除速率。
表2.1
*C1、C2及C3指为结构(I)中的基团X及Y间的三个碳。
表2.2
实施例3
该实施例表明,结构(I)的胺1G(其中X为羟基及Y为氨基(即,单乙醇胺))与具有结构(II)的唑1G’(其中R7为氨基、Z1为氮原子、Z2为氮原子且Z3为碳原子(即,5-氨基四唑))对抛光实施例P-1G中观察到的多晶硅移除速率及多晶硅对氮化硅移除速率选择性比率的协同作用,如表3.1中所示。
当将抛光实施例P-3A及P-3B的结果与抛光实施例P-1G的结果(被包含在表3.1中以用于比较且基于组合物1G)进行比较时,该协同作用是明显的。
参照表3.1,比较例化学机械抛光组合物C-3A及C-3B及本发明的化学机械抛光组合物1G各自包含氨基三(甲烷膦酸)、高纯度硅胶及将该混合物的pH值调整至最终值10.8的足够的KOH。此外,如可在表3.1中看见的,组合物C-3A包含胺1G(1-氨基乙醇),但是不包含任何唑化合物;组合物C-3B包含唑(5-氨基四唑),但是不包含任何胺化合物;组合物1G包含胺1G(1-氨基乙醇)与唑(5-氨基四唑单水合物)二者。组合物C-3A及C-3B的导电度类似于组合物1G的导电度。使用组合物C-3A、C-3B及1G进行表3.1中的多晶硅及氮化硅抛光测试P-3A、P-3B及P-1G。抛光测试的结果总结在表3.1中。
本实施例表明从化学机械组合物成分中省略胺1G(1-氨基乙醇)或唑(5-氨基四唑)中的任一种(分别为组合物C-3B及C-3A),当将该组合物用于抛光实施例(分别为抛光实施例P-3B及P-3A)中时会造成多晶硅移除速率损失,即使该组合物的离子强度/导电度类似于包含这两种组分的抛光组合物1G。
尽管在胺与唑之间的预料不到的协同交互作用机制不清楚,但是显然从包含胺1G与唑的组合物1G获得的多晶硅移除速率及多晶硅对氮化硅移除速率选择性的值分别比从其中省略了二种组分之一的组合物C-3A及C-3B获得的多晶硅移除速率及多晶硅对氮化硅移除速率选择性的最高相应值(参见P-3A及P-3B)高至少34%及15%(表3.1)。
表3.1
实施例4
该实施例表明,当在化学机械抛光组合物中使用唑与胺1-G(2-氨基乙醇)的组合时,在抛光实施例P-4A至P-4F中观察到的多种唑结构(4A’至4F’,表4.1)对多晶硅移除速率及多晶硅对氮化硅移除速率比率的影响(表4.2)。
再者,该实施例表明使用结构II的唑/唑衍生物(如表4.1中所列的)的优点,在结构II,R7为H、COOH、C1-C3烷基或N(R9)2,每个R9各自独立地为H或C1-C3烷基,其限制条件为当Z3为-N-时,R7被删除(如在权利要求1中详述)。如可在表4.1中看见的,在唑4A’中与R7对应的基团为苯基。就此而论,唑4A’不是结构(II)的化合物。令人惊讶的是,唑4A’显示出比为结构(II)的化合物的唑4B’-4F’更低的多晶硅移除速率及更低的多晶硅对氮化硅移除速率比率(参见表4.2)。
以与其他实施例类似的方式制备该抛光组合物。类似地,在抛光实施例P-4A至P-4F中进行多晶硅及氮化硅抛光测试,实施例P-1G的抛光测试结果(来自表1.2)被包括在表4.2中以进行比较。
总结在表4.2中的抛光结果清楚地显示出使用具有结构(I)的化合物与具有结构(II)的唑/唑衍生物组合的优点。在表4.2中可清楚地看见这些化合物对提高P-Si移除速率及P-Si对SiN选择性的协同用。如可在表4.2中看见的,比较例P-4A具有最低P-Si移除速率及最低P-Si对SiN选择性。所有本发明实施例(P-4B至P-4F及P-1G)具有更高的P-Si移除速率及P-Si对SiN移除速率比率。事实上,包括唑4C(1,2,4-三唑)的组合物P-4C具有比比较例P-1A高40%的P-Si移除速率。再者,包含唑4B’(咪唑)的组合物P-4B具有比比较例P-1A高70%的P-Si对SiN移除速率比率。
表4.1
表4.2
实施例5
本实施例表明,在与本发明组合物1G紧密相关的抛光组合物中,额外组分对多晶硅移除速率及多晶硅对氮化硅移除速率比率的影响(表5.1)。该实施例表明诸如牛磺酸及氢氧化胆碱的添加剂可实质上提高多晶硅移除速率(如分别在抛光实施例P-5A及P-5B中显示的)。此外,氢氧化胆碱(5B)显著性提高多晶硅对氮化硅移除速率比率(如抛光实施例P-5B中显示的)。
表5.1中列出的本发明化学机械抛光组合物5A、5B及1G各自包括胺1-G(单乙醇胺)、唑(5-氨基四唑)、氨基三(甲烷膦酸)、高纯度硅胶及将该混合物的pH值调整至最终值10.8的足够的KOH或硝酸。此外,组合物5A包括牛磺酸及组合物5B包括氢氧化胆碱。根据上述标准方案进行抛光测试P-5A、P-5B及P-1G。结果总结在表5.1中。
该实施例表明,包括牛磺酸的本发明抛光组合物5A比本发明组合物1G(没有任何额外组分)在多晶硅移除速率方面提高了至少3%;当将这些组合物分别用在抛光测试P-5A及P-1G中时,提供可比较的多晶硅对氮化硅移除速率比率。该实施例还表明,当将这些组合物分别用在抛光测试P-5B及P-1G中时,包括氢氧化胆碱的本发明抛光组合物5B比本发明组合物1G(没有任何额外组分)在多晶硅移除速率上提高了至少25%且在多晶硅对氮化硅移除速率比率上提高了370%。
此外,表5.1示出了在作为2X浓缩剂制备的并经过加速老化条件(60℃烤箱,3周)的本发明组合物5A、5B及1G上所收集的胶体稳定性资料的总结。如果在测试周期结束时,通过动态光散射(DLS)测量的平均颗粒尺寸(MPS)的生长为<5纳米时,该浆体被分类为“稳定”;如果MPS生长的实测值为>5纳米时为“不稳定”。MPS可使用DLS工具诸如来自MalvernInstruments的Malvern Zetasizer进行测量。胶体稳定性测试结果表明本发明组合物1G(不包含额外组分)和本发明组合物5A及5B的2X浓缩剂都被发现是稳定的。
表5.1
虽然本发明已相对于本文提出的实施例进行描述,应了解在未脱离如所附权利要求中定义的本发明的精神及范围的情况下其他修改及变化也是可能的。

Claims (29)

1.一种化学机械抛光组合物,包含:
1)至少一种磨料;
2)至少一种结构(I)的化合物:
其中:
n为0、1、2或3;
X及Y各自独立地为O(Ra)、CH2(Ra)或NH(Ra),其限制条件为X及Y的至少一个为O(Ra)或NH(Ra),其中,每个Ra独立地为H或任选地经羟基或NH2取代的C1-C3烷基;及
R1-R6各自独立地为H、OH或任选地经OH或NH2取代的C1-C3烷基;
3)至少一种结构(II)的化合物:
其中
Z1及Z2各自独立地为-CR8-或-N-,其中,R8为H、N(Rb)2、COOH、C1-C3烷基,每个Rb独立地为H或C1-C3烷基;或Z1及Z2一起形成与结构(II)中的5元环融合的5-6元环;
Z3为-C-或-N-;及
R7为H、COOH、C1-C3烷基或N(R9)2,其中,每个R9独立地为H或C1-C3烷基,其限制条件为当Z3为-N-时,R7被删除;及
4)水;
其中,所述组合物不包括氢氧化四甲基铵或其盐。
2.如权利要求1所述的组合物,其中,所述结构(I)的化合物为氨基醇。
3.如权利要求2所述的组合物,其中,所述结构(I)的化合物为选自于由下列所组成的组:单乙醇胺、二乙醇胺、1-氨基-2-丙醇、1-氨基-2-丁醇、1,3-二氨基-2-丙醇、3-氨基-1,2-丙二醇、3-氨基-1-丙醇、2-(2-氨基乙氧基)乙醇、2-氨基-3-甲基-1-丁醇及5-氨基-1-戊醇。
4.如权利要求1所述的组合物,其中,所述结构(I)的化合物为二胺。
5.如权利要求4所述的组合物,其中,所述结构(I)的化合物为选自于由下列所组成的组:2,2-二甲基-1,3-丙二胺、1,3-二氨基戊烷及2-(3-氨丙基胺)乙醇。
6.如权利要求1所述的组合物,其中,当n为0且R1-R4各自为H时,X及Y的至少一个为OH。
7.如权利要求1所述的组合物,其中,所述结构(I)的化合物的量为所述组合物的约0.1重量%至约15重量%。
8.如权利要求1所述的组合物,其中,所述结构(II)的化合物为咪唑或三唑。
9.如权利要求8所述的组合物,其中,所述结构(II)的化合物为选自于由下列所组成的组:咪唑、1,2,4-三唑、3-氨基-1,2,4-三唑、苯并三唑、3,5-二氨基-1,2,4-三唑及1,2,4-三唑-3-羧酸。
10.如权利要求1所述的组合物,其中,所述结构(II)的化合物为四唑。
11.如权利要求10所述的组合物,其中,所述结构(II)的化合物为5-(氨基甲基)四唑、5-甲基-1H-四唑、5-氨基四唑、其水合物。
12.如权利要求所述1的组合物,其中,所述结构(II)的化合物的量为所述组合物的约0.1重量%至约15重量%。
13.如权利要求1所述的组合物,其中,所述磨料为选自于由下列所组成的组:氧化铝、煅制氧化硅、硅胶、涂布的粒子、二氧化钛、二氧化铈、氧化锆及其任意组合。
14.如权利要求13所述的组合物,其中,所述磨料为硅胶。
15.如权利要求1所述的组合物,其中,所述磨料的量为所述组合物的约0.05重量%至约20重量%。
16.如权利要求1所述的组合物,进一步包含pH值调整剂。
17.如权利要求1所述的组合物,进一步包含含有磺酸盐基团、氨基、羧酸盐基团或四烷基铵基团的盐,所述盐与氢氧化四甲基铵的盐不同。
18.如权利要求17所述的组合物,其中,所述盐为选自于由下列所组成的组:氨基酸、牛磺酸及氢氧化胆碱。
19.如权利要求17所述的组合物,其中,所述盐的量为所述组合物的约0.05重量%至约5重量%。
20.如权利要求1所述的组合物,进一步包含氨基膦酸。
21.如权利要求20所述的组合物,其中,所述氨基膦酸为氨基(三亚甲基膦酸)。
22.如权利要求20所述的组合物,其中,所述氨基膦酸的量为所述组合物的约0.01重量%至约5重量%。
23.如权利要求1所述的组合物,其中,所述组合物具有约7至约12的pH值。
24.如权利要求1所述的组合物,其中,所述组合物不包括碳酸氢盐或氧化剂。
25.如权利要求1所述的组合物,其中,所述组合物具有至少约8000埃/分钟的多晶硅移除速率。
26.如权利要求1所述的组合物,其中,所述组合物具有至少约50:1的多晶硅对氮化硅移除速率比率。
27.如权利要求1所述的抛光组合物,其中,所述组合物在抛光前的使用点(POU)处能被稀释至少二倍,且当与稀释前的所述抛光组合物相比时,抛光性能没有降低。
28.一种用于抛光晶圆基材表面上曝露的多晶硅膜的方法,包括使用如权利要求1所述的组合物处理所述多晶硅膜。
29.如权利要求所述28的方法,进一步包括在所述抛光处理步骤之前用去离子水稀释所述组合物。
CN201880020925.9A 2017-03-29 2018-03-27 抛光组合物及其使用方法 Active CN110461970B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202210053475.1A CN114456717A (zh) 2017-03-29 2018-03-27 抛光组合物及其使用方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/472,788 US10106705B1 (en) 2017-03-29 2017-03-29 Polishing compositions and methods of use thereof
US15/472,788 2017-03-29
PCT/US2018/024543 WO2018183310A1 (en) 2017-03-29 2018-03-27 Polishing compositions and methods of use thereof

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202210053475.1A Division CN114456717A (zh) 2017-03-29 2018-03-27 抛光组合物及其使用方法

Publications (2)

Publication Number Publication Date
CN110461970A true CN110461970A (zh) 2019-11-15
CN110461970B CN110461970B (zh) 2022-02-11

Family

ID=63672978

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201880020925.9A Active CN110461970B (zh) 2017-03-29 2018-03-27 抛光组合物及其使用方法
CN202210053475.1A Pending CN114456717A (zh) 2017-03-29 2018-03-27 抛光组合物及其使用方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202210053475.1A Pending CN114456717A (zh) 2017-03-29 2018-03-27 抛光组合物及其使用方法

Country Status (8)

Country Link
US (3) US10106705B1 (zh)
EP (1) EP3601460A4 (zh)
JP (1) JP6904087B2 (zh)
KR (2) KR20230172616A (zh)
CN (2) CN110461970B (zh)
SG (1) SG11201908327UA (zh)
TW (2) TWI790614B (zh)
WO (1) WO2018183310A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10106705B1 (en) 2017-03-29 2018-10-23 Fujifilm Planar Solutions, LLC Polishing compositions and methods of use thereof
CN114341287B (zh) * 2019-09-04 2024-03-15 Cmc材料有限责任公司 用于多晶硅化学机械抛光的组合物及方法
EP4045226B1 (en) 2019-10-15 2024-01-03 FUJIFILM Electronic Materials U.S.A., Inc. Polishing compositions and methods of use thereof
JP2023548484A (ja) * 2020-10-29 2023-11-17 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 研磨組成物及びその使用方法
JP2024501226A (ja) * 2020-12-21 2024-01-11 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 化学機械研磨組成物及びその使用方法
TW202405102A (zh) * 2022-07-29 2024-02-01 美商聖高拜陶器塑膠公司 用於進行材料移除操作之組成物及方法

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1742066A (zh) * 2003-02-03 2006-03-01 卡伯特微电子公司 抛光含硅电介质的方法
US20060117666A1 (en) * 2000-10-23 2006-06-08 Hiroyuki Yoshida Polishing composition
CN101432384A (zh) * 2006-04-27 2009-05-13 卡伯特微电子公司 含聚醚胺的抛光组合物
CN101437918A (zh) * 2006-05-02 2009-05-20 卡伯特微电子公司 用于半导体材料的化学机械抛光的组合物及方法
CN101443890A (zh) * 2006-05-16 2009-05-27 昭和电工株式会社 研磨组合物的制造方法
CN103261358A (zh) * 2010-12-17 2013-08-21 嘉柏微电子材料股份公司 用于抛光多晶硅的组合物及方法
WO2014123126A1 (ja) * 2013-02-06 2014-08-14 三菱化学株式会社 半導体デバイス用基板洗浄液及び半導体デバイス用基板の洗浄方法
CN105393337A (zh) * 2013-07-22 2016-03-09 嘉柏微电子材料股份公司 用于氧化硅、氮化硅、和多晶硅材料的化学机械抛光的组合物和方法
US20160153095A1 (en) * 2012-09-06 2016-06-02 Fujimi Incorporated Polishing composition
CN106104764A (zh) * 2014-03-12 2016-11-09 嘉柏微电子材料股份公司 用于钨材料的化学机械抛光的组合物及方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63207169A (ja) 1987-02-24 1988-08-26 Toshiba Corp 半導体記憶装置及びその製造方法
US5860848A (en) 1995-06-01 1999-01-19 Rodel, Inc. Polishing silicon wafers with improved polishing slurries
JP3457144B2 (ja) * 1997-05-21 2003-10-14 株式会社フジミインコーポレーテッド 研磨用組成物
US6114249A (en) 1998-03-10 2000-09-05 International Business Machines Corporation Chemical mechanical polishing of multiple material substrates and slurry having improved selectivity
US6063306A (en) 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
US6159786A (en) 1998-12-14 2000-12-12 Taiwan Semiconductor Manufacturing Company Well-controlled CMP process for DRAM technology
KR100416587B1 (ko) * 2000-12-22 2004-02-05 삼성전자주식회사 씨엠피 연마액
JP2005518670A (ja) * 2002-02-26 2005-06-23 アプライド マテリアルズ インコーポレイテッド 基板を研磨するための方法及び組成物
JP2004266155A (ja) * 2003-03-03 2004-09-24 Jsr Corp 化学機械研磨用水系分散体およびこれを用いた化学機械研磨方法ならびに半導体装置の製造方法
TWI288046B (en) * 2003-11-14 2007-10-11 Showa Denko Kk Polishing composition and polishing method
JP4814502B2 (ja) 2004-09-09 2011-11-16 株式会社フジミインコーポレーテッド 研磨用組成物及びそれを用いた研磨方法
JP2007180451A (ja) * 2005-12-28 2007-07-12 Fujifilm Corp 化学的機械的平坦化方法
CN101130665A (zh) * 2006-08-25 2008-02-27 安集微电子(上海)有限公司 用于抛光低介电材料的抛光液
JP2008091573A (ja) * 2006-09-29 2008-04-17 Fujifilm Corp 研磨用組成物及び研磨方法
CN101636465A (zh) * 2007-01-31 2010-01-27 高级技术材料公司 用于化学机械抛光浆料应用的聚合物-二氧化硅分散剂的稳定化
JP5467804B2 (ja) * 2008-07-11 2014-04-09 富士フイルム株式会社 窒化ケイ素用研磨液及び研磨方法
CN102210012B (zh) * 2008-11-07 2014-12-17 旭硝子株式会社 研磨剂、研磨方法和半导体集成电路装置的制造方法
US8252688B2 (en) * 2008-12-31 2012-08-28 Air Products And Chemicals, Inc. Method and composition for chemical mechanical planarization of a metal or a metal alloy
US8815110B2 (en) 2009-09-16 2014-08-26 Cabot Microelectronics Corporation Composition and method for polishing bulk silicon
JP5587620B2 (ja) * 2010-01-25 2014-09-10 株式会社フジミインコーポレーテッド 研磨用組成物及びそれを用いた研磨方法
US9238755B2 (en) 2011-11-25 2016-01-19 Fujima Incorporated Polishing composition
US20140054266A1 (en) * 2012-08-24 2014-02-27 Wiechang Jin Compositions and methods for selective polishing of platinum and ruthenium materials
US8974692B2 (en) * 2013-06-27 2015-03-10 Air Products And Chemicals, Inc. Chemical mechanical polishing slurry compositions and method using the same for copper and through-silicon via applications
US9150759B2 (en) 2013-09-27 2015-10-06 Rohm And Haas Electronic Materials Cmp Holdings, Inc Chemical mechanical polishing composition for polishing silicon wafers and related methods
US20150114928A1 (en) * 2013-10-30 2015-04-30 Jia-Ni Chu Abrasive Particles for Chemical Mechanical Polishing
JP6306383B2 (ja) 2014-03-17 2018-04-04 日本キャボット・マイクロエレクトロニクス株式会社 スラリー組成物および基板研磨方法
CN104073170B (zh) * 2014-06-24 2015-11-18 江苏天恒纳米科技股份有限公司 一种铝合金表面超精密加工专用纳米浆料及其制备方法
CN104131293B (zh) * 2014-07-01 2015-09-23 安徽拓普森电池有限责任公司 一种用于金属表面抛光的抛光液及其制备方法
US10106705B1 (en) 2017-03-29 2018-10-23 Fujifilm Planar Solutions, LLC Polishing compositions and methods of use thereof

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060117666A1 (en) * 2000-10-23 2006-06-08 Hiroyuki Yoshida Polishing composition
CN1742066A (zh) * 2003-02-03 2006-03-01 卡伯特微电子公司 抛光含硅电介质的方法
CN101432384A (zh) * 2006-04-27 2009-05-13 卡伯特微电子公司 含聚醚胺的抛光组合物
CN101437918A (zh) * 2006-05-02 2009-05-20 卡伯特微电子公司 用于半导体材料的化学机械抛光的组合物及方法
CN101443890A (zh) * 2006-05-16 2009-05-27 昭和电工株式会社 研磨组合物的制造方法
CN103261358A (zh) * 2010-12-17 2013-08-21 嘉柏微电子材料股份公司 用于抛光多晶硅的组合物及方法
US20160153095A1 (en) * 2012-09-06 2016-06-02 Fujimi Incorporated Polishing composition
WO2014123126A1 (ja) * 2013-02-06 2014-08-14 三菱化学株式会社 半導体デバイス用基板洗浄液及び半導体デバイス用基板の洗浄方法
CN105393337A (zh) * 2013-07-22 2016-03-09 嘉柏微电子材料股份公司 用于氧化硅、氮化硅、和多晶硅材料的化学机械抛光的组合物和方法
CN106104764A (zh) * 2014-03-12 2016-11-09 嘉柏微电子材料股份公司 用于钨材料的化学机械抛光的组合物及方法

Also Published As

Publication number Publication date
SG11201908327UA (en) 2019-10-30
EP3601460A4 (en) 2020-04-22
CN114456717A (zh) 2022-05-10
JP6904087B2 (ja) 2021-07-14
KR102614303B1 (ko) 2023-12-14
EP3601460A1 (en) 2020-02-05
WO2018183310A1 (en) 2018-10-04
US20190055431A1 (en) 2019-02-21
US20200224058A1 (en) 2020-07-16
US10106705B1 (en) 2018-10-23
TWI730084B (zh) 2021-06-11
KR20190129894A (ko) 2019-11-20
TWI790614B (zh) 2023-01-21
US11499071B2 (en) 2022-11-15
TW202132494A (zh) 2021-09-01
TW201837131A (zh) 2018-10-16
US10703937B2 (en) 2020-07-07
KR20230172616A (ko) 2023-12-22
US20180282580A1 (en) 2018-10-04
CN110461970B (zh) 2022-02-11
JP2018170485A (ja) 2018-11-01

Similar Documents

Publication Publication Date Title
CN110461970A (zh) 抛光组合物及其使用方法
TW580514B (en) A polishing composition including an inhibitor of tungsten etching
TWI629325B (zh) 鈷凹陷控制劑
TWI580767B (zh) 鈷拋光加速劑
TWI730970B (zh) 研磨方法及雜質去除用組成物以及基板及其製造方法
TWI630286B (zh) 用於改善凹陷之鈷抑制劑組合
CN107148496A (zh) 腐蚀抑制剂以及相关的组合物及方法
TW201629183A (zh) 研磨用組成物
WO2014132641A1 (ja) コバルト除去のための研磨スラリー
JP2002511650A (ja) 化学的−機械的金属表面研磨用スラリ
JPH04291723A (ja) シリコンウェハー用研摩剤
TW201333128A (zh) 化學機械拋光液
WO2012165016A1 (ja) Cmp研磨液及び半導体基板の研磨方法
JP2019510364A (ja) カチオン重合体助剤を含む研磨組成物
CN103831706B (zh) 一种化学机械抛光工艺
JP2001115146A (ja) バリア膜用研磨剤
US11851584B2 (en) Alternative oxidizing agents for cobalt CMP
JP2015074737A (ja) 化学機械研磨用水系分散体および化学機械研磨方法
TW201239053A (en) Polishing composition for polishing through-silicon via (TSV) wafer and use of the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant