CN1103113C - 电极组件、其组装方法以及用电极组件处理晶片的方法 - Google Patents

电极组件、其组装方法以及用电极组件处理晶片的方法 Download PDF

Info

Publication number
CN1103113C
CN1103113C CN96194033A CN96194033A CN1103113C CN 1103113 C CN1103113 C CN 1103113C CN 96194033 A CN96194033 A CN 96194033A CN 96194033 A CN96194033 A CN 96194033A CN 1103113 C CN1103113 C CN 1103113C
Authority
CN
China
Prior art keywords
electrode
support component
hold assembly
gas
showerhead
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CN96194033A
Other languages
English (en)
Other versions
CN1184555A (zh
Inventor
埃里克H·伦兹
迈克尔L·卡尔维斯
伊沃A·米勒
罗伯特A·弗雷泽
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1184555A publication Critical patent/CN1184555A/zh
Application granted granted Critical
Publication of CN1103113C publication Critical patent/CN1103113C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrical Discharge Machining, Electrochemical Machining, And Combined Machining (AREA)
  • Gas-Filled Discharge Tubes (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

可以实现一种用于在等离子反应室内处理单晶片的电极组件,电极组件包括一个支撑部件(32),一个具有均匀厚度的盘的形式的类似硅喷头电极的电极(30),和一个提供压向喷头电极的弹性夹持力的夹持环(34)。夹持部件可为由弹性可变形材料制成的环及夹持部件可用众多隔开的弹性的螺栓(35)拧紧从而将夹持部件压紧和在处理晶片时电极组件的整个温度变化周期内提供弹性夹持力。将加压气体送入喷头电极和支撑部件之间的间隙内,可改善它们之间的热传导。夹持部件也在电极与被处理晶片之间的区域内提供等离子约束。

Description

电极组件、其组装方法以及用电极组件处理晶片的方法
本发明涉及用于等离子处理半导体晶片的设备,更具体地涉及一种电极组件,其中电极被弹性地夹持于支撑部件上。本发明还涉及装配电极的方法和使用电极组件蚀刻晶片的方法。
干等离子蚀刻,反应离子蚀刻和离子研磨技术都发展起来以克服那些与半导体晶片的化学蚀刻有关的许多局限性。尤其是,等离子蚀刻允许垂直蚀刻率比水平蚀刻率大得多以便合适地控制蚀刻图形的最终高宽比(即最终缺口的高度与宽度之比)。事实上,等离子蚀刻可在薄片内形成很大高宽比的很精确图形,厚度上接近1微米。
在等离子蚀刻过程中,将大量能量输给处于相对低压的气体,从而使气体电离,结果在晶片的掩模表面上形成等离子体。通过调整被蚀刻的衬底的电位,等离子体中的带电荷物质可被引导为基本上垂直地落到晶片上,其中晶片的示掩蔽部分中的材料被蚀掉。
使用能与被蚀刻材料发生化学反应的气体,能使蚀刻过程更为有效。所谓“反应离子蚀刻”过程将等离子体的能量蚀刻效应与气体的化学蚀刻效应结合起来。然而,已经发现许多化学上活化剂能造成过度的电极损耗。
希望将等离子体均匀地分布在晶片表面上以便在晶片的整个表面上得到均匀的蚀刻率。例如,美国专利号4,595,484,4,792,378,4,820,371和4,960,488公开了用于通过电极中许多孔散布气体的喷头电极。这些专利一般地描述气体弥散盘,这些盘配置一些孔以便向半导体晶片提供均匀流量的气体蒸汽流。
反应离子蚀刻系统一般包括一个蚀刻室,它具有放于其中的一个上电极或阳极和一个下电极或阴极。相对于阳极和容器壁而言,阴极处于负电位。被蚀刻的晶片由合适的掩模所遮盖垂直接放于阴极上。一种例如CF4,CHF3,CClF3和SF6那样的化学反应气体或它们与O2,N2,He或Ar的混合物被送入蚀刻室并保持在通常为毫乇范围的压力下。上电极所具有的通气孔允许气体通过电极均匀地弥散入蚀刻室。在阳极和阴极之间所建立的电场将反应气体分离而形成等离子体。晶片表面由与活性离子的化学交互作用和由轰击晶片表面的离子所传送的动量所蚀刻。电极所建立的电场将离子吸引到阴极,使离子在基本上垂直的方向内轰击表面以便此过程产生很好地定位的垂直地蚀刻的侧壁。
图1中示出用于单晶片蚀刻器的喷头电极组件10。这种喷头电极10通常和一个凸的底电极合用,在此底电极上支撑着一片8英寸晶片,位于电极10下方1至2cm处。底电极的凸形状用于补偿由于在晶片背面施加He气压所造成的晶片下凹,如果不实现补偿,则在中心处将会产生较弱等离子场和较差热传导。底电极的外凸程度的范围为35至50密耳(mil),及通过降低加于晶片背面的He气压可以进一步补偿电极10中心下方的较弱等离子场,以增加等离子体对晶片中心的RF耦合。
硅电极10的外边缘上表面由In焊剂冶金地焊至石墨支撑环12。电极10是一块平盘,自其中心至边缘都具有均匀厚度。一个铝夹持环16将环12的外部凸缘夹持至具有水冷通道13的铝支撑部件14上。一个由Teflon支撑环18a和环状Vespel插入环18b组成的等离子约束环18环绕于电极10的外周边。约束环18的目的和功能是增加反应室壁和等离子体之间的电阻,从而将等离子体约束于上电极和下电极之间。夹持环16由十二个在边界上等距分布的拧入支撑部件14的不锈钢螺栓17固定至支撑部件14上,而等离子约束环18由六个在边界上等距分布的拧入环16的螺栓19固定至夹持环16上。夹持环16的径向地向内伸入的凸缘与石墨支撑环12的外凸缘啮合。因此在电极10的显露面上不直接受到夹持压力。
处理气体通过支撑部件14中的中心孔20送至电极10。该气体然后通过一块或多块垂直方向内隔开的导流板22弥散开并通过电极10内的气体弥散孔(未示出)从而使处理气体均匀地弥散入反应室24内。为加强环12与支撑部件14之间的热传导,一部分处理气体通过气体通道27充满于支撑部件14中的小环状槽内。此外,约束环18中的气体通道26允许气压在反应室24中得到调节。为保持支撑部件14和环12之间的处理气体处于加压状态下,一个第一O密封环28放置于支撑环12的径向内表面和支撑部件14的径向外表面之间及一个第二O密封环29放置于环12上表面的外部和支撑部件14的下表面之间。
将硅电极10焊至支撑环12的焊接过程需要将电极加热至焊接温度,由于硅电极10和石墨环12热膨胀系数不同,电极的加热可能引起电极的弯曲或开裂。此外,来自电极10和环12间的连接点或来自环本身的焊接颗粒或蒸发的焊剂杂质会引起晶片污染。电极温度甚至可能高至足够将焊剂熔解和使电极10的一部或全部与环12脱离。而即使电极10只是部分地脱离环12,环12与电极10之间电和热功率传导的局部变化也可能导致电极10下面等离子密度不均匀。
本发明提供用于晶片处理的等离子反应室的电极组件。该电极组件包括一个支撑部件,一个电极和一个夹持部件,该支撑部件的下表面面向反应室内待处理的晶片,该电极的下表面面向晶片,及电极外边缘的上表面面向支撑部件的下表面。夹持部件与电极的外边缘相接合,并提供弹性夹持力,将电极压向支撑部件。
根据优选实施例,该电极包括一个喷头电极及该支撑部件包括一个用于将处理气体提供给电极上表面的气体通道。在此情况下,可选用的方案是支撑部件可包括一个空腔和位于空腔内的一块或多块导流板,气体通道依靠这些导流板在第一压力下将处理气体送入支撑部件下表面与最上面的导流板上表面之间的空间内。支撑部件也可包括一个气体通道,其中的节气阀用于在高于第一压力的第二压力下向位于电极上表面外面部分与支撑部件下表面之间的间隙内送处处理气体。可在电极和支撑部件之间的间隙而侧放置一对类似O密封环那样的密封圈以便在电极的外边缘周围实现密封。电极可包括一块均匀或不均匀厚度的硅盘,及夹持部件可包括一个由绝缘材料制成的环,它的径向地向内伸入的凸缘压在电极显露表面的外面部分上。
在优选实施例中,夹持部件的环具有一个径向地向内伸入的凸缘,该凸缘与电极外边缘接触并将电极外边缘压向支撑部件下表面。夹持部件可以包括一个气体通道,用于调节电极显露表面邻近区域的气体压力。一个类似O密封环那样的密封圈可放置于夹持部件与支撑部件之间以便密封夹持部件中的气体通道周围区域。为提供弹性夹持力,夹持部件可用一种可压缩的合成树脂材料制成,该材料应在等离子反应室的等离子环境下具有稳定性。另一可选方案是,夹持部件可由不可压缩材料制成,而通过类似众多由可压缩合成树脂材料制成的螺栓那样的可压缩部件固定至支撑部件上。
本发明还提供一种用于装配等离子反应室的喷头电极组件的方法。该方法包括组装一个支撑部件和一个喷头电极的步骤,其中该支撑部件具有一条用于将处理气体送至支撑部件下表面的气体通道,及该喷头电极具有一个外边缘,从而使喷头电极外边缘的上表面面向支撑部件的下表面。该方法还包括将夹持部件与喷头电极外边缘接合及将喷头电极固定至支撑部件上的步骤,从而由夹持部件提供一个压向喷头电极的弹性夹持力。
本发明还提供一种用于等离子反应室中处理晶片的方法。该方法包括向等离子反应室提供晶片和向装在等离子反应室内的支撑部件中的气体通道提供处理气体的步骤,从而使处理气体自支撑部件下表面逸出和通过喷头电极的显露的下表面。该方法还包括向喷头电极提供电功率的步骤,电功率通过位于电极外边缘上表面和支撑部件下表面之间的环状接触带,从而使处理气体形成一个与晶片上表面接触的等离子体。该过程还包括使用夹持部件将等离子体约束在晶片上部区域内的步骤,该夹持部件与喷头电极的外缘接合并将喷头电极固定至支撑部件上,该夹持部件在处理晶片期间提供一个压向喷头电极的弹性夹持力。
现参照附图进一步描述本发明,附图中:
图1是用于单晶片处理的现有技术喷头电极组件的侧向剖面图;
图2是根据本发明的喷头电极组件的侧向剖面图;
图3是图2中所示喷头电极的高压气体馈给的放大视图;
图4是利用根据本发明的电极组件的等离子蚀刻室的中心和边缘重要尺寸(CD)线数据与运行射频(RF)时间的关系图;
图5是利用根据本发明的电极组件的等离子蚀刻室的二氧化硅蚀刻中的蚀刻率和均匀度与运行的RF时间的关系图;
图6是利用根据本发明的电极组件的等离子蚀刻室的通孔蚀刻期间光刻的蚀刻率与运行RF时间的关系图;
图7是利用根据本发明的电极组件的等离子蚀刻室的硅酸硼磷玻璃(BPSG)蚀刻中蚀刻率和均匀度与运行RF时间的关系图;以及
图8是利用根据本发明的电极组件的等离子蚀刻室的接触蚀刻过程中光刻的蚀刻率与运行RF时间的关系图。
本发明的电极组件提供更为均匀的电极冷却,改善的等离子体约束,电极的生产和装配的低成本,自电极中心至外缘的更大平坦度而仍保持预定限度内的重要尺寸(CD)线,从而克服图1中所示现有技术电极组件的缺点。该电极组件对晶片蚀刻特别有用,也可用于淀积例如SiO2的膜层。本发明也能减少由于电极部件的热膨胀系数不匹配而引起的电极组件中的应力。
根据本发明的喷头电极组件示于图2和3中。该组件包括一个电极30,一个支撑部件32,和一个用于将电极30夹至支撑部件32上的等离子约束环34。环34通过十二个沿圆周隔开分布的螺栓35固定至支撑部件32上,这些螺栓35拧入支撑部件32。因此,本发明不需要将电极30焊接至石墨支撑环上,因为这一焊接会导致图1中所示装置中所有的以前讨论过的不同缺点。就等离子约束而言,与图1中环18相比较,环34增长了通至围绕电极外围的接地点的路径,从而提供较好的等离子约束。
支撑部件32具有气体通道36,用于向支撑部件的下表面提供处理气体(例如,用于蚀刻晶片上的氧化硅或其它材料层的合适的等离子蚀刻气体)。处理气体然后通过电极30分布在被处理的晶片上。支撑部件32也设计为带有下部凹槽38,供三个隔开的喷头导流板40插入。处理气体通过气体通道36和通过导流板40送至电极30。支撑部件32还带有径向内部下表面32a,用于与电极30接合,还带有径向外部下表面32b,用于与约束环34紧密接触。支撑部件32的下表面32a与电极30上表面接触并向电极30提供电功率。
支撑部件32包括在上边缘径向地向外伸出的凸缘46,用于将电极组件固定至等离子反应室内部,类似于单晶片等离子蚀刻中所用类型。在组装条件下,支撑部件32的上表面中的同心冷却通道52提供电极组件的水冷却。
电极30最好由导电材料组成,例如平面硅(例如单晶硅),石墨或碳化硅电极盘,自中心至外边缘具有均匀厚度。然而,根据本发明的电报组件也能使用厚度不均匀,用不同材料组成和/或没有处理气体弥散孔的电极。在优选实施例中,电极是一个带有众多隔开的气体排放通道(未示出)的喷头电极,气体排放通道的尺寸和分布适合于供应由电极所激励的处理气体并在电极下面的反应室内形成等离子体。
等离子约束环34具有径向地向内伸进的凸缘50,其上表面提供一个压向电极30的显露表面外部的弹性夹持力。约束环34最好由绝缘材料制成,例如隔热的热固塑料(例如由Dupont制成的Vespel),它在等离子环境中是稳定的。然而,其它材料例如由氧化铝、氧化锆、二氧化钛、氮化硅、碳化硅等组成的瓷材料或由绝缘材料涂覆的金属可用于环34。
等离子约束环34最好如此方式固定至支撑部件32上以便将电极30弹性地夹持于环34与支撑部件32之间。例如,如环34由Vespel制成,则螺栓35可在室温下拧紧足够量以便弹性地压紧环34。在处理晶片时,环34、电极30和支撑部件32热起来并可能承受不同程度的热膨胀。然而,由于环34是被弹性地压缩的,在处理晶片时,在这些部件所经受的整个温度变化周期内,环34一直提供弹性夹持力将电极30压在支撑部件32上。
根据优选实施例,环34和螺栓35由例如Vespel那样的弹性可变形的材料制成。然而,如果螺栓35由弹性可变形材料制成,则环34可为非弹性材料,或者反之亦然。在此安排下,环34和螺栓35的弹性变形在这些部件中存储能量并在电极30、支撑部件32、约束环34和螺栓35进行热膨胀和收缩时允许环34维持足够的夹持力。使用相对软的、可压缩的材料制作环34和长螺栓35时,允许这些部件变形相当大数量而仍保持对电极30的软接触从而避免损害电极30。在优选实施例中,Vespel螺栓35的尺寸为1/4-20,当螺栓拧紧时,每个螺栓经受约100磅的负荷,也即每个螺栓所受应力约为其极限拉伸强度(12,500psi)的四分之一。在此负荷下,螺栓弹性地变形约0.020英寸和环34弹性地变形约0.012英寸,全部变形约为0.032英寸。由于整个环/螺栓系统保持于其线性弹性范围之内,在Si盘或环/螺栓系统进行热膨胀或收缩期间,环34能维持足够的压向Si盘电极的夹持力。
反应室中的气压可通过等离子约束环34中的气体通道54得到调节,气体通道54与一合适的压力传感器连通,后者以合适方式连至一个空心螺栓(未示出),而该螺栓则拧入支撑部件32的背面。围绕气体通道54的O环44提供支撑部件32与等离子约束环34之间的密封。为加强电极30与支撑部件32之间的热传导,通过气体通道55提供处理气体并在支撑部件32的环状通道(未示出)中保持加压状态,在电极30与支撑部件32之间形成间隙。为保持气体在间隙中处于加压状态下,内部和外部O密封环42、43同心地排列在气体通道55的两侧。
运行中,通常为包括CF4、CHF3、Ar和N2在内的碳氟化合物的混合物的等离子蚀刻气体被送至支撑部件32中的气体通道36,同时也送至气体通道55。通过支撑部件32中的气体通道36的处理气体弥散于支撑部件与导流板40上部之间的空间内。该气体通过上导流板中的一系列孔(未示出),然后通过中导流板和下导流板并最后通过电极30中的气体弥散孔(未示出)。以此方式,处理气体均匀地弥散于正在处理中的晶片上,从而实现晶片的均匀蚀刻。
根据本发明,使用可压缩材料制作等离子约束环34和/或螺栓35的优点是减少电极30破裂的可能性,在电极30的温度变化周期内改善电极30与支撑部件32之间的热传导,以及通过维持电极30和支撑部件32之间的良好电接触从而改善向电极的电源供应。将一个由可压缩材料制成的环放置于瓷或金属环34与电极30之间,或将绝缘的可压缩材料涂覆于金属或瓷环34上,也可获得类似结果。
根据本发明的设备可用于多晶片或单晶片处理中的晶片处理,例如等离子蚀刻,淀积等。例如,该设备可用于蚀刻或淀积BPSG,氧化物,例如热二氧化硅或热解氧化物和光刻材料。图2中所示设备保持亚微米接触外形,CD和颗粒杂质。就BPSG蚀刻而言,可得到约13500A/min的蚀刻率,并在超过9000RF分钟的晶片处理操作中保持约5%蚀刻均匀度,而图1中所示的电极组件早在2400RF分钟时就可能需要替换。可以保持大约350A/min的光阻蚀刻率,而蚀刻氧化硅时大约7000A/min。在通孔蚀刻过程中耐蚀刻率能保持稳定至6500RF分钟,然后有稳定的衰减。就CD线测量而言,在将晶片蚀刻200秒以便在二氧化硅中产生通孔时,用晶片的SEM测量可提供一个中心CD,它自开始查终结的过程中增加约0.02μm,该测量提供一个增加约0.03μm的边缘CD。根据本发明的电极组件可得到的技术性能示于图4-8中。具体讲,图4显示接触蚀刻过程中耐蚀刻率与以射频运行分钟(RF分钟)表示的反应运行时间的关系,图5显示BPSG蚀刻率与RF分钟的关系,图6显示通孔蚀刻过程中耐蚀刻率与RF分钟的关系,图7显示二氧化硅蚀刻率和均匀度与RF分钟的关系,及图8显示CD边缘和中心尺寸与RF分钟的关系。
上面已描述了本发明的原理,优选实施例和运行模式。然而,本发明不应被认为局限于所讨论的具体实施例。因此上面描述的实施例应被认为是解释性而不是限制性的,并且应该理解本领域技术人员可以在不背离由下面权利要求书所定义的本发明的范围的情况下在这些实施例中作出许多变动。

Claims (29)

1.一种用于晶片处理的等离子反应室的电极组件,包括:
一个支撑部件,具有面向反应室内待处理的晶片的下表面;
一个电极,具有一个面向晶片的下表面,该电极的外边缘的上表面面向支撑部件的下表面;以及
一个夹持部件,与电极外边缘接合及将电极弹性地压向支撑部件。
2.权利要求1的电极组件,其中该电极包括一个喷头电极及该支撑部件包括一个向电极上表面供应处理气体的气体通道。
3.权利要求2的电极组件,其中支撑部件包括一个腔和至少一块位于该腔内的导流板,该气体通道将处理气体送入支撑部件下表面与和它相邻的导流板上表面之间的空间内。
4.权利要求1的电极组件,其中夹持部件包括一个环,该环具有一个向内伸入的凸缘,该凸缘与电极外边缘接触并将电极上表面压向支撑部件下表面。
5.权利要求4的电极组件,其中该凸缘相对于该环轴对称地放置。
6.权利要求1的电极组件,其中支撑部件包括一个用于向电极上表面的外部与支撑部件下表面之间的空间内送入处理气体的气体通道。
7.权利要求6的电极组件,其中该空间内的处理气体处于较反应室处理气体更高的压力下并用于冷却该电极。
8.权利要求1的电极组件,其中该夹持部件包括一个用于调节电极显露表面附近的区域内气压的气体通道。
9.权利要求1的电极组件,其中该夹持部件由一种弹性可变形材料制成。
10.权利要求1的电极组件,其中该夹持部件由选自由陶瓷、金属和陶瓷合金组成的组中的一种非弹性材料制成。
11.权利要求1的电极组件,其中该夹持部件由多个弹性螺栓固定至支撑部件上。
12.权利要求1的电极组件,其中该电极包括一个导热并导电的盘及该夹持部件包括一个由绝缘材料制成和具有向内伸入的凸缘的环,该凸缘的上表面与电极显露表面的外边部分接触。
13.权利要求12的电极组件,其中该盘包括单晶硅,石墨或碳化硅。
14.权利要求8的电极组件,其中第一弹性密封圈位于电极和支撑部件之间,该第一弹性密封圈围绕着电极外边缘,以及第二弹性密封圈位于夹持部件与支撑部件之间,该第二弹性密封圈围绕着夹持部件中的气体通道。
15.一种用于组装等离子反应室的喷头电极组件的方法,包括:
组装一个支撑部件和一个喷头电极,该支撑部件具有一个向支撑部件下表面供给处理气体的气体通道,该喷头电极具有一个外边缘,该喷头电极的外边缘的上表面面向支撑部件下表面;以及
将夹持部件与喷头电极外边缘相接合及将喷头电极固定至支撑部件上,以便夹持部件提供一个压向喷头电极的弹性夹持力。
16.权利要求15的方法,其中喷头电极固定至支撑部件上以便将处理气体送入支撑部件下表面与喷头电极上表面之间的空间内,该处理气体在喷头电极与支撑部件之间传导热量。
17.权利要求15的方法,其中该夹持部件包括一个具有向内伸入的凸缘的弹性可变形环,该方法包括将该凸缘与喷头电极外边缘相接触及使该环弹性地变形以便将喷头电极外边缘压向支撑部件下表面的步骤。
18.权利要求15的方法,其中该支撑部件包括一个腔,该方法包括在该腔内安装至少一块导流板,以便由气体通道向支撑部件下表面与和它邻近的导流板上表面之间的空间内送入处理气体的步骤。
19.权利要求15的方法,其中夹持部件由弹性可变形材料制成,该方法包括使用多个隔开的螺栓将夹持部件固定至支撑部件上和将螺栓拧紧从而使夹持部件弹性地压在喷头电极上的步骤。
20.权利要求19的方法,其中这些螺栓由弹性可变形材料制成。
21.权利要求15的方法,其中该喷头电极包括一片具有均匀厚度的盘及该夹持部件包括一个具有向内伸入的凸缘的环,该方法包括使凸缘上表面与喷头电极的显露表面的外边部分相接触的步骤。
22.权利要求15的方法,其中该夹持部件使用多个隔开的由弹性可变形材料制成的螺栓固定至支撑部件上,该方法包括拧紧这些螺栓从而使这些螺栓弹性地变形并将喷头电极弹性地夹持在支撑部件上的步骤。
23.权利要求15的方法,其中该夹持部件由非弹性材料制成。
24.一种用于在等离子反应室内处理晶片的方法,包括:
向等离子反应室内提供一块晶片;
向安装在等离子反应室内的支撑部件内的气体通道内送入处理气体,以使处理气体逸出支撑部件下表面并通过喷头电极的显露的下表面;
向喷头电极供给电功率,以使电功率通过电极外边缘的上表面与支撑部件下表面之间的接触区域,该电功率促使处理气体形成一个与晶片上表面接触的等离子体;以及
使用夹持部件将等离子体约束于晶片上部区域内,该夹持部件与喷头电极外边缘相接合并将喷头电极固定至支撑部件上,该夹持部件提供一个弹性夹持力,在晶片处理期间压向喷头电极。
25.权利要求24的方法,其中该支撑部件包括一个腔和至少一块位于该腔内的导流板及该支撑部件包括一个用于供给处理气体的气体通道,该方法包括将处理气体送入支撑部件下表面与和它邻近的导流板上表面之间的空间内和将处理气体通过气体通道送入喷头电极上表面的外面部分与支撑部件下表面之间的间隙内的步骤。
26.权利要求24的方法,进一步包括通过夹持部件中的气体通道调节与喷头电极的显露表面邻近的区域内的气体压力的步骤。
27.权利要求24的方法,其中该方法包括将晶片上的一层材料蚀刻的步骤。
28.权利要求27的方法,其中该方法包括将晶片上的一层二氧化硅层蚀刻的步骤。
29.权利要求24的方法,其中该方法包括在晶片上淀积一层材料的步骤。
CN96194033A 1995-05-19 1996-05-17 电极组件、其组装方法以及用电极组件处理晶片的方法 Expired - Lifetime CN1103113C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/445,292 US5569356A (en) 1995-05-19 1995-05-19 Electrode clamping assembly and method for assembly and use thereof
US08/445,292 1995-05-19

Publications (2)

Publication Number Publication Date
CN1184555A CN1184555A (zh) 1998-06-10
CN1103113C true CN1103113C (zh) 2003-03-12

Family

ID=23768348

Family Applications (1)

Application Number Title Priority Date Filing Date
CN96194033A Expired - Lifetime CN1103113C (zh) 1995-05-19 1996-05-17 电极组件、其组装方法以及用电极组件处理晶片的方法

Country Status (11)

Country Link
US (1) US5569356A (zh)
EP (1) EP0826229B1 (zh)
JP (1) JP3930048B2 (zh)
KR (1) KR100399566B1 (zh)
CN (1) CN1103113C (zh)
AT (1) ATE233017T1 (zh)
AU (1) AU5753296A (zh)
CA (1) CA2220678A1 (zh)
DE (1) DE69626281T2 (zh)
RU (1) RU2163044C2 (zh)
WO (1) WO1996036984A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100373540C (zh) * 2005-12-08 2008-03-05 北京北方微电子基地设备工艺研究中心有限责任公司 用于半导体设备的下电极组件

Families Citing this family (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US6159297A (en) * 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
JP3728021B2 (ja) * 1996-06-28 2005-12-21 日清紡績株式会社 プラズマエッチング電極及びその製造方法
KR100397860B1 (ko) * 1997-09-22 2003-12-18 카가쿠기쥬쯔죠 킨조쿠자이료 기쥬쯔켄큐죠 반응성이온에칭법및그장치
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6464843B1 (en) 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6117786A (en) * 1998-05-05 2000-09-12 Lam Research Corporation Method for etching silicon dioxide using fluorocarbon gas chemistry
US5998932A (en) * 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6696366B1 (en) 1998-08-17 2004-02-24 Lam Research Corporation Technique for etching a low capacitance dielectric layer
US6050216A (en) * 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6372151B1 (en) 1999-07-27 2002-04-16 Applied Materials, Inc. Storage poly process without carbon contamination
US6565759B1 (en) * 1999-08-16 2003-05-20 Vanguard International Semiconductor Corporation Etching process
US6451157B1 (en) * 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6408786B1 (en) 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US6227140B1 (en) 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6673198B1 (en) 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6383931B1 (en) 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
JP4444437B2 (ja) * 2000-03-17 2010-03-31 キヤノンアネルバ株式会社 プラズマ処理装置
DE20005365U1 (de) 2000-03-23 2000-11-23 VenTec Gesellschaft für Venturekapital und Unternehmensberatung, 57078 Siegen Elektrodenanordnung für Plasmaätzanlagen hoher Leistung
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
AU2001273537A1 (en) * 2000-07-20 2002-02-05 Tokyo Electron Limited Improved electrode for plasma processing system
US6753498B2 (en) 2000-07-20 2004-06-22 Tokyo Electron Limited Automated electrode replacement apparatus for a plasma processing system
WO2002008486A2 (en) * 2000-07-20 2002-01-31 Tokyo Electon Limited Electrode apparatus and method for plasma processing
US6492774B1 (en) * 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6790242B2 (en) 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US20020127853A1 (en) * 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
US6597003B2 (en) * 2001-07-12 2003-07-22 Axcelis Technologies, Inc. Tunable radiation source providing a VUV wavelength planar illumination pattern for processing semiconductor wafers
US20030106644A1 (en) * 2001-07-19 2003-06-12 Sirkis Murray D. Electrode apparatus and method for plasma processing
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US6786175B2 (en) 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP3876167B2 (ja) * 2002-02-13 2007-01-31 川崎マイクロエレクトロニクス株式会社 洗浄方法および半導体装置の製造方法
DE60333088D1 (de) * 2002-04-17 2010-08-05 Lam Res Corp Verfahren zur herstellung einer silizium-elektrode für plasma-reaktionskammer
EP1512164B1 (en) 2002-05-23 2016-01-06 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a mutli-part electrode
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
US20050050708A1 (en) * 2003-09-04 2005-03-10 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded fastener apparatus and method for preventing particle contamination
KR101202151B1 (ko) * 2003-09-10 2012-11-15 외를리콘 솔라 아게, 트뤼프바흐 직사각형 대면적 기판 처리용 고주파 플라즈마 반응기의전압 불균일성 보상 방법
KR100513404B1 (ko) * 2003-12-08 2005-09-09 삼성전자주식회사 반도체 제조설비 관리시스템의 제어방법
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20050220568A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for fastening components used in plasma processing
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
US20060108069A1 (en) * 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US7713379B2 (en) 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US9520276B2 (en) 2005-06-22 2016-12-13 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
US20060288934A1 (en) * 2005-06-22 2006-12-28 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US7743730B2 (en) * 2005-12-21 2010-06-29 Lam Research Corporation Apparatus for an optimized plasma chamber grounded electrode assembly
US8789493B2 (en) 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US7514125B2 (en) * 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
JP5348848B2 (ja) * 2007-03-28 2013-11-20 東京エレクトロン株式会社 プラズマ処理装置
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
MY166000A (en) * 2007-12-19 2018-05-21 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus
KR101553423B1 (ko) 2007-12-19 2015-09-15 램 리써치 코포레이션 반도체 진공 프로세싱 장치용 필름 점착제
US8276898B2 (en) 2008-06-11 2012-10-02 Lam Research Corporation Electrode transporter and fixture sets incorporating the same
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8449679B2 (en) * 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US20100098875A1 (en) * 2008-10-17 2010-04-22 Andreas Fischer Pre-coating and wafer-less auto-cleaning system and method
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
JP5544907B2 (ja) * 2010-02-04 2014-07-09 東京エレクトロン株式会社 ガスシャワー用の構造体及び基板処理装置
US8249900B2 (en) * 2010-02-10 2012-08-21 Morgan Stanley & Co. Llc System and method for termination of pension plan through mutual annuitization
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8470127B2 (en) 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US20120231181A1 (en) * 2011-03-09 2012-09-13 Applied Materials, Inc. Insulation coverage of cvd electrode
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
US9869392B2 (en) 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
JP6068849B2 (ja) * 2012-07-17 2017-01-25 東京エレクトロン株式会社 上部電極、及びプラズマ処理装置
US10480077B2 (en) * 2013-03-13 2019-11-19 Applied Materials, Inc. PEALD apparatus to enable rapid cycling
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
US9738975B2 (en) 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10177024B2 (en) 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
CN106937473B (zh) * 2015-12-31 2019-02-19 中微半导体设备(上海)有限公司 一种电感耦合等离子处理器
CN108269753B (zh) * 2018-01-10 2023-12-05 池州海琳服装有限公司 一种硅片单面清洗机
CN108063110B (zh) * 2018-01-10 2023-11-24 池州海琳服装有限公司 一种硅片浮动支撑机构
CN110484895B (zh) * 2018-05-14 2021-01-08 北京北方华创微电子装备有限公司 腔室组件及反应腔室
CN109767968B (zh) * 2018-12-17 2021-06-08 北京北方华创微电子装备有限公司 下电极结构及反应腔室
CN111524775B (zh) * 2019-02-01 2023-03-10 中微半导体设备(上海)股份有限公司 一种等离子处理器以及用于等离子处理器的上电极组件
CN110332311B (zh) * 2019-06-20 2020-04-24 中国航发贵州黎阳航空动力有限公司 一种b形橡胶密封结构的装配方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5370739A (en) * 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59200761A (ja) * 1983-04-28 1984-11-14 Toshiba Corp スパツタリングタ−ゲツト支持装置
US4534816A (en) * 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4595484A (en) * 1985-12-02 1986-06-17 International Business Machines Corporation Reactive ion etching apparatus
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US4820371A (en) * 1987-12-15 1989-04-11 Texas Instruments Incorporated Apertured ring for exhausting plasma reactor gases
JPH01279784A (ja) * 1988-05-02 1989-11-10 Tokyo Electron Ltd エッチング装置
JPH0273624A (ja) * 1988-09-08 1990-03-13 Fujitsu Ltd Cvd用ガス導入装置
JP2688243B2 (ja) * 1988-11-25 1997-12-08 東京エレクトロン株式会社 電極構造体
JPH02268427A (ja) * 1989-04-11 1990-11-02 Tokyo Electron Ltd プラズマ処理装置
US5089083A (en) * 1989-04-25 1992-02-18 Tokyo Electron Limited Plasma etching method
US5180467A (en) * 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
JP2851229B2 (ja) * 1992-10-19 1999-01-27 株式会社日立製作所 プラズマエッチングシステム及びプラズマエッチング方法
JPH06302555A (ja) * 1993-04-16 1994-10-28 Sumitomo Metal Ind Ltd プラズマエッチング装置
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5370739A (en) * 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100373540C (zh) * 2005-12-08 2008-03-05 北京北方微电子基地设备工艺研究中心有限责任公司 用于半导体设备的下电极组件

Also Published As

Publication number Publication date
KR100399566B1 (ko) 2003-12-31
CA2220678A1 (en) 1996-11-21
US5569356A (en) 1996-10-29
JPH11505950A (ja) 1999-05-25
EP0826229A1 (en) 1998-03-04
CN1184555A (zh) 1998-06-10
ATE233017T1 (de) 2003-03-15
JP3930048B2 (ja) 2007-06-13
RU2163044C2 (ru) 2001-02-10
AU5753296A (en) 1996-11-29
DE69626281T2 (de) 2003-12-11
EP0826229B1 (en) 2003-02-19
DE69626281D1 (de) 2003-03-27
KR19990014794A (ko) 1999-02-25
WO1996036984A1 (en) 1996-11-21

Similar Documents

Publication Publication Date Title
CN1103113C (zh) 电极组件、其组装方法以及用电极组件处理晶片的方法
US6838012B2 (en) Methods for etching dielectric materials
US5810933A (en) Wafer cooling device
KR20040111691A (ko) 반도체 공정용 플라즈마 반응기를 위한 다중부재 전극 및다중부재 전극의 일부를 교체하는 방법
EP1105917B1 (en) Elastomer bonded parts for plasma processes and method for manufacture and use thereof
JP5660753B2 (ja) プラズマエッチング用高温カソード
US9111968B2 (en) Plasma processing chamber with a grounded electrode assembly
US7645341B2 (en) Showerhead electrode assembly for plasma processing apparatuses
US8317968B2 (en) Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US8826855B2 (en) C-shaped confinement ring for a plasma processing chamber
CN100550271C (zh) 用于半导体处理反应器的喷头电极设计
US8883029B2 (en) Method of making a gas distribution member for a plasma processing chamber
US20030047282A1 (en) Surface processing apparatus
US20090127234A1 (en) Plasma processing chamber with guard ring for upper electrode assembly
US20010030024A1 (en) Plasma-enhanced processing apparatus
US6120608A (en) Workpiece support platen for semiconductor process chamber
JP3195535B2 (ja) プラズマエッチング用電極及びプラズマエッチング装置
JP2734908B2 (ja) プラズマ処理装置
TWI767294B (zh) 等離子體處理設備
US6258204B1 (en) Electrically planar upper electrode cover

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20030312

EXPY Termination of patent right or utility model