CN109426693B - 开发电子器件的架构设计和制造电子器件的系统及方法 - Google Patents

开发电子器件的架构设计和制造电子器件的系统及方法 Download PDF

Info

Publication number
CN109426693B
CN109426693B CN201810049128.5A CN201810049128A CN109426693B CN 109426693 B CN109426693 B CN 109426693B CN 201810049128 A CN201810049128 A CN 201810049128A CN 109426693 B CN109426693 B CN 109426693B
Authority
CN
China
Prior art keywords
standard cell
standard
electronic
electronic device
design
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810049128.5A
Other languages
English (en)
Other versions
CN109426693A (zh
Inventor
陈胜雄
高章瑞
张丰愿
黄博祥
王绍桓
王新泳
郑仪侃
陈俊臣
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109426693A publication Critical patent/CN109426693A/zh
Application granted granted Critical
Publication of CN109426693B publication Critical patent/CN109426693B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/04Constraint-based CAD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/20Configuration CAD, e.g. designing by assembling or positioning modules selected from libraries of predesigned modules
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2117/00Details relating to the type or aim of the circuit design
    • G06F2117/08HW-SW co-design, e.g. HW-SW partitioning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/10Geometric CAD
    • G06F30/18Network design, e.g. design based on topological or interconnect aspects of utility systems, piping, heating ventilation air conditioning [HVAC] or cabling

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Pure & Applied Mathematics (AREA)
  • Mathematical Optimization (AREA)
  • Mathematical Analysis (AREA)
  • Computational Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Human Computer Interaction (AREA)
  • Manufacturing & Machinery (AREA)
  • Automation & Control Theory (AREA)

Abstract

本发明的实施例提供了用于开发电子器件的电子架构设计和制造电子器件的系统以及方法。本发明的实施例描述的示例性系统和方法从一个或多个标准单元和/或一个或多个标准单元变型中选择性地挑选以形成电子器件的模拟电路和/或数字电路的电子架构设计。在示例性实施例中,半导体代工厂和/或半导体技术节点可以对将一个或多个标准单元布置到电子器件设计基板面上施加一个或多个电子设计约束。在一些情况下,一个或多个标准单元中的一些在被布置到电子器件基板面上时不能满足一个或多个电子设计约束。在这些情况下,将与这些标准单元相对应的一个或多个标准单元变型布置到电子器件基板面上。

Description

开发电子器件的架构设计和制造电子器件的系统及方法
技术领域
本发明的实施例总体涉及电子电路领域,更具体地,涉及用于开发电子器件的电子架构设计和制造电子器件的系统以及方法
背景技术
技术和工程方面的进步使得设计者和制造商能够为消费者提供更多的电子器件。在整个电子器件的设计中,设计者和/或制造商通常使用电子设计自动化(EDA),也称为电子计算机辅助设计(ECAD)。EDA代表设计人员和制造商能够用于设计电子器件的一类软件应用。在将电子器件制造到集成电路(IC)或半导体衬底上之前,许多软件应用可用于设计、模拟、分析和验证电子器件。传统的用于设计电子器件的软件应用利用处于寄存器传送等级(RTL)的高级软件语言来开发电子器件的模拟电路和/或数字电路的软件实现。这些传统的软件应用通过互连来自传统预定义的标准单元库中的许多传统的标准单元以形成在IC或半导体衬底上的电子器件的模拟电路和/或数字电路,来将高级软件语言翻译成传统的电子架构设计。传统地,传统预定义的标准单元库中的较大的标准单元先于传统预定义的标准单元库中的较小的标准单元被布置到IC或半导体衬底上,从而为将这些较小的标准单元布置到IC上或半导体衬底上留下较少的可用基板面(real estate)。因此,通常扩展IC或半导体衬底以扩大将这些较小的标准单元布置到IC或半导体衬底上的可用的基板面。IC或半导体衬底的这种扩展增大了电子器件的模拟电路和/或数字电路所占据的基板面。
发明内容
根据本发明的一个方面,提供了一种用于开发电子器件的电子架构设计的系统,所述系统包括:存储器,存储多个标准单元库,所述多个标准单元库包括多个标准单元和多个标准单元变型;以及处理器,配置为执行布置和布线应用,当由所述处理器执行所述布置和布线应用时,所述布置和布线应用配置所述处理器以使得:将所述多个标准单元布置到电子器件设计基板面上,当第一标准单元不满足电子设计约束时,从所述多个标准单元变型中选择与所述多个标准单元中的所述第一标准单元相对应的第一标准单元变型,当所述第一标准单元不满足所述电子设计约束时,用所述第一标准单元变型替换所述电子器件设计基板面内的所述第一标准单元;以及对布置到所述电子器件设计基板面上的所述多个标准单元中的标准单元和所述第一标准单元变型进行布线以开发用于电子器件的电子架构设计。
根据本发明的另一个方面,提供了一种开发多个标准单元库的方法,所述方法包括:通过计算机系统从多个标准单元库中的标准单元库中选择标准单元;通过计算机系统将由所述标准单元占据的合法位点的数量与所述合法位点的阈值数量进行比较;以及当由所述标准单元占据的所述合法位点的数量小于或等于所述合法位点的阈值数量时,通过所述计算机系统,开发包括与所述标准单元相对应的标准单元变型的标准单元变型库。
根据本发明的又一个方面,提供了一种用于在半导体衬底上制造电子器件的系统,所述系统包括:存储器,存储电子器件的电子架构设计,利用多个标准单元变型库开发所述电子架构设计,所述多个标准单元变型库包括多个标准单元变型,其中,所述多个标准单元变型对应于多个标准单元中的占据小于或等于合法位点的阈值数量的标准单元;处理器,被配置为执行前段制程(FEOL)处理应用,当通过所述处理器执行所述前段制程时,所述前段制程配置所述处理器以使得:根据所述电子架构设计在所述半导体衬底内和/或上形成所述电子器件的一个或多个半导体器件。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳地理解本发明的各个方面。应该注意,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增大或减小。
图1A示出根据本发明的示例性实施例的第一电子设计平台的框图。
图1B示出根据本发明的示例性实施例的第二电子设计平台的框图。
图2示出根据本发明的示例性实施例的示例性标准单元库;
图3示出根据本发明的示例性实施例的用于布置电子器件的模拟电路和/或数字电路的电子器件设计基板面;
图4示出根据本发明的示例性实施例的用于开发示例性标准单元库的示例性操作的流程图;
图5A和图5B分别示出根据本发明的示例性实施例的第一示例性标准单元和与第一示例性标准单元相对应的第一示例性标准单元变型的示例性半导体布局图;
图6A和图6B还分别示出根据本发明的示例性实施例的第一示例性标准单元和与第一标准单元相对应的第一示例性标准单元变型的示例性半导体布局图;
图7A和图7B分别示出根据本发明的示例性实施例的第二示例性标准单元和与第二示例性标准单元相对应的第二示例性标准单元变型的示例性半导体布局图;
图8示出根据本发明的示例性实施例的用于布置来自标准单元库中标准单元和/或标准单元变型的示例性操作的流程图;以及
图9示出根据本发明的示例性实施例的用于实现示例性设计平台的示例性计算机系统的框图。
具体实施方式
以下公开内容提供了许多用于实现所提供主题的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件以直接接触的方式形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
概述
本发明公开了多个标准单元库的示例性实施例,其中,多个标准单元库包括一个或多个标准单元和一个或多个对应的标准单元变型。一个或多个标准单元变型具有与一个或多个标准单元类似的功能,但在几何形状、几何形状的位置和/或几何形状之间的互连件方面与它们的一个或多个标准单元不同。本文所描述的示例性系统和方法从一个或多个标准单元和/或一个或多个标准单元变型中有选择地挑选以形成电子器件的模拟电路和/或数字电路的电子架构设计。在示例性实施例中,半导体代工厂和/或半导体技术节点可以在将一个或多个标准单元布置到电子器件设计基板面上时施加一个或多个电子设计约束。在一些情况下,一个或多个标准单元中的一些在被布置到电子器件基板面上时不能满足一个或多个电子设计约束。在这些情况下,将与这些标准单元相对应的一个或多个标准单元变型布置到电子器件基板面上。
示例性电子设计平台
图1A示出根据本发明的示例性实施例的第一电子设计平台的框图。如图1A所示,电子设计平台100表示包括一个或多个电子设计软件应用的设计流程,其中,当由一个或多个计算器件、处理器、控制器或其他在不背离本发明的精神和范围的情况下对于相关领域的技术人员是显而易见的器件执行该一个或多个电子设计软件应用时,可以设计、模拟、分析和/或验证电子器件的模拟电路和/或数字电路的一个或多个高级软件等级描述。在示例性实施例中,可以使用高级软件语言(诸如,图形设计应用(例如C、系统C、C++、LabVIEW和/或MATLAB)、诸如SysML、SMDL和/或SSDL等的通用系统设计语言,或者任何其他在不背离本发明的精神和范围的情况下对于相关领域的技术人员是显而易见的合适的高级软件或通用系统设计语言)或者高级软件格式(诸如通用功率格式(CPF)、统一功率格式(UPF)或任何其他在不背离本发明的精神和范围的情况下对于相关领域的技术人员是显而易见的合适的高级软件格式)来实现一个或多个高级软件等级描述。在图1A所示的示例性实施例中,电子设计平台100包括合成应用102、布置(placing)和布线应用104、模拟应用106、验证应用108以及标准单元库110。
此外,可以以硬件、固件、软件或它们的任何组合来实现本发明的实施例。本发明的实施例还可以实现为存储在机器可读介质上的指令,其中,可以通过一个或多个处理器来读取和执行这些指令。机器可读介质可以包括以机器(例如,计算设备)可读的形式存储或发送信息的任何机制。例如,机器可读介质可以包括:诸如只读存储器(ROM)的非暂时性机器可读介质;随机存取存储器(RAM);磁盘存储介质;光存储介质;闪存设备;以及其他介质。作为另一实例,机器可读介质可以包括诸如电、光、声或其他形式的传播信号(例如,载波、红外信号、数字信号等)的暂时性机器可读介质。此外,固件、软件、程序、指令可以在本文中描述为实施特定的操作。然而,应当理解,这样的描述仅仅是为了简明,并且这种操作实际上来自计算设备、处理器、控制器或执行固件、软件、程序、指令等的其他设备。在示例性实施例中,合成应用102、布置和布线应用104、模拟应用106和验证应用108表示一个或多个电子设计软件应用,当由一个或多个计算设备、处理器、或其他在不背离本发明的精神和范围的情况下对于相关领域的技术人员显而易见的设备执行该一个或多个电子设计软件应用时,将来自通用目的的电子器件的一个或多个计算设备、处理器、控制器或其他设备配置成专用目的的电子器件中以执行这些应用中的一个或多个,这将在下面进一步详细描述。
合成应用102将电子器件的一个或多个特性、参数或属性转换成一个或多个逻辑操作、一个或多个算法操作、一个或多个控制操作和/或其他在不背离本发明的精神和范围的情况下对于相关领域的技术人员显而易见的任何合适的操作或多个操作,并且根据电子器件的模拟电路和/或数字电路,转换成一个或多个高级软件等级描述。合成应用102可以利用模拟算法来模拟一个或多个逻辑操作、一个或多个算法操作、一个或多个控制操作和/或其他合适一个或操作或多个操作,以根据电子设计说明书中概述的电子器件的一个或多个特征、参数或属性来验证一个或多个逻辑操作、一个或多个算法操作、一个或多个控制操作和/或实施的其他合适的操作。
布置和布线应用104翻译一个或多个高级软件等级描述以形成电子器件的模拟电路和/或数字电路的电子架构设计。布置和布线应用104选择性地挑选标准单元库110内的一个或多个标准单元和/或标准单元库110内的与一个或多个标准单元相对应的一个或多个标准单元变型,以将一个或多个高级软件等级描述的一个或多个逻辑操作、一个或多个算法运算、一个或多个控制操作和/或其他合适的一个操作或多个操作转换成几何形状和/或几何形状之间的互连件以形成电子器件的模拟电路和/或数字电路的电子架构设计。通常,一个或多个标准单元变型具有与其相应的标准单元类似的功能,但是在几何形状、几何形状的位置和/或几何形状之间的互连件方面与其相应的标准单元不同。这样,一个或多个标准单元变型允许布置和布线应用104在不扩展电子器件设计基板面的情况下选择性地挑选一个或多个标准单元的一个或多个变型以布置标准单元库110内的具有与一个或多个标准单元类似的功能的一个或多个较大的标准单元。
在示例性实施例中,标准单元库110包括限定各个几何形状、各个几何形状的位置和/或各个几何形状之间的互连件的多个标准单元。在该示例性实施例中,标准单元库110内的多个标准单元的一个或多个对应于标准单元库110内的一个或多个标准单元变型。可选地或此外,在该示例性实施例中,仅标准单元库110内的多个标准单元的子集对应于标准单元库110内的一个或多个标准单元变型。标准单元库110内的多个标准单元的这个子集可以用于显著地减小标准单元库110的尺寸。例如,可以通过仅包括用于较小的标准单元的一个或多个标准单元变型显著地压缩标准单元库110,该标准单元变型还称为临界标准单元,来自需要小于或等于电子器件设计基板面上的合法位点(legal site)的阈值数量的多个标准单元中。该示例性实施例进一步提高了布置和布线应用104形成电子架构设计的效率。下面将在图2至图7B中进一步描述标准单元库110的示例性实施例。
在从标准单元库110中选择一个或多个标准单元之后,布置和布线应用104将一个或多个所选的标准单元布置到电子器件设计基板面上,以开始形成用于电子器件的模拟电路和/或数字电路的电子架构设计。在示例性实施例中,在将一个或多个所选标准单元中的占据较少基板面的这些标准单元布置在电子器件设计基板面上之前,布置和布线应用104将一个或多个所选标准单元中的占据较多基板面的标准单元布置到电子器件设计基板面上。额外地,布置和布线应用104验证将一个或多个所选标准单元布置到电子器件设计基板面上是否满足一个或多个电子设计约束。一个或多个电子设计约束可以包括由制造电子器件的一个或多个半导体代工厂和/或一个或多个半导体技术节点限定的一个或多个推荐参数。一个或多个推荐参数可以包括用于一个或多个标准单元的推荐的几何形状,用于一个或多个标准单元的推荐的几何形状的位置,推荐的几何形状之间的互连件,和/或在不脱离本发明的精神和范围的情况下对于相关领域的技术人员来说将是显而易见的任何其他合适的一个电子设计约束或多个电子设计约束。例如,一个或多个推荐参数可以包括推荐的用于一个或多个标准单元的电源、接地端和/或输入/输出连接的位置,推荐的电子器件设计基板面上的一个或多个扩散层、一个或多个多晶硅层、一个或多个金属层、和/或多个层之间的一个或多个互连件的位置。
在示例性实施例中,当标准单元库110中的一个或多个标准单元的布置满足一个或多个电子设计约束时,一个或多个标准单元的这种布置表示在电子器件设计基板面上的合法(legal)布置。否则,当一个或多个标准单元的布置不满足一个或多个电子设计约束时,一个或多个标准单元的这种布置表示在电子器件设计基板面上的非法布置。在这种情况下,布置和布线应用104从标准单元库110中不断地选择一个或多个标准单元变型,这种标准单元变型表示具有非法布置的一个或多个标准单元的一个或多个变型,并且用合法布置的一个或多个标准单元变型替换电子器件设计基板面上的一个或多个标准单元。通常,一个或多个标准单元变型具有与其相应的标准单元类似的功能,但是在几何形状、几何形状的位置和/或几何形状之间的互连件方面与其相应的标准单元不同。下面将在图8中进一步描述一个或多个所选标准单元的布置以及该布置是否满足一个或多个电子设计约束。
一旦布置和布线应用104将一个或多个所选标准单元布置到电子器件设计上并且验证一个或多个布置的标准单元满足一个或多个电子设计约束,则布置和布线应用104对一个或多个布置的标准单元布线以形成用于电子器件的模拟电路和/或数字电路的电子架构设计。在示例性实施例中,布置和布线应用104在一个或多个布置的标准单元之间形成导电材料的各个几何形状和/或在这些各个几何形状之间形成互连件,以形成用于电子器件的模拟电路和/或数字电路的电子架构设计。
模拟应用106模拟电子器件的模拟电路和/或数字电路的电子架构设计,以复制电子器件的模拟电路和/或数字电路的电子架构设计的一个或多个特性、参数或属性。在示例性实施例中,模拟应用106可以提供静态时序分析(STA)、电压降分析(也称为IREM分析)、时钟域交叉验证(CDC检查)、形式验证(也称为模型检查、等价性检查)、或在不背离本发明的精神和范围的情况下对于相关领域的技术人员而言显而易见的任何其他合适的分析。在另一示例性实施例中,模拟应用106可以实施交流(AC)分析,诸如线性小信号频域分析,和/或直流(DC)分析,诸如非线性静态点计算或在扫描电压、电流和/或实施STA、IREM分析或其他合适的分析的参数时计算的一系列非线性工作点。
验证应用108验证由模拟应用106所复制的用于电子器件的模拟电路和/或数字电路的电子架构设计的一个或多个特性、参数或属性满足电子设计规范。验证应用108还可以实施物理验证(也称为设计规则检查(DRC)),以检查用于电子器件的模拟电路和/或数字电路的电子架构设计是否满足一个或多个推荐参数(称为设计规则),其中,该推荐参数由制造电子器件的半导体代工厂和/或半导体技术节点所限定。
图1B示出根据本发明的示例性实施例的第二电子设计平台的框图。如图1B所示,电子设计平台120表示包括一个或多个电子设计软件应用的制造流程,当一个或多个电子设计软件应用由一个或多个计算设备、处理器、控制器或在不背离本发明的精神和范围的情况下对于相关领域的技术人员来说是显而易见的其他设备执行时,可以实施光刻和化学处理步骤的多操作序列,在该多操作序列期间,在诸如晶体硅的衬底上逐渐创建用于电子器件的模拟电路和/或数字电路,但是该衬底可以包括其他材料或者材料的组合,诸如蓝宝石或在不背离本发明的精神和范围的情况下对于相关领域的技术人员而言显而易见的任何其他合适的材料。光刻和化学处理步骤的多操作序列可以包括沉积、去除、图案化和改变。沉积是用于将材料生长、涂覆或转移至半导体衬底上的工艺,并且可以包括物理汽相沉积(PVD)、化学汽相沉积(CVD)、电化学沉积(ECD)和/或分子束外延(MBE),以提供一些实例。去除是从半导体衬底去除材料的工艺,并且可以包括湿刻蚀、干刻蚀和/或化学机械平坦化(CMP),以提供一些实例。通常称为光刻的图案化是成型或改变半导体衬底的材料以形成电子器件的模拟电路和/或数字电路的各个几何形状的工艺。电特性的改变是通常通过离子注入来改变半导体衬底的材料的物理、电和/或化学特性的工艺。在示例性实施例中,半导体代工厂可以利用该制造流程在半导体衬底上制造电子器件的模拟电路和/或数字电路。在图1B所示的示例性实施例中,制造流程可分为前段制程(FEOL)工艺、中段制程(MEOL)工艺和后段制程(BEOL)工艺。在这个实施例中,如图1B所示,电子设计平台120包括FEOL处理应用122、MEOL处理应用124和BEOL处理应用126。
FEOL处理应用122根据电子器件的模拟电路和/或数字电路的电子架构设计,在半导体衬底内的一个或多个扩散层和/或一个或多个多晶硅层上形成模拟电路和/或数字电路的一个或多个半导体器件。FEOL处理应用122可以包括在半导体衬底内形成一个或多个阱并且在半导体衬底内或上形成模拟电路/或数字电路的一个或半导体器件的各个端子(诸如栅极、源极和漏极,以提供一些实例)。在示例性实施例中,电子架构设计表示描述电子器件的电路的几何形状、几何形状的位置和/或几何形状的互连件的基于图像或基于数据的表示。电子架构设计可以表示一个或多个JPEG(联合图像专家组)图像、JPEG文件交换格式(JIFF)图像、可交换图像文件(Exif)图像、标记的图像文件格式(TIFF)图像、图形交换格式(GIF)图像、Windows位图(BMP)图像和/或便携式网络图形(PNG)图像、AutoCAD图形交换格式(DXF)数据文件、可移植文档格式(PDF)数据文件、电子设计交换格式(EDIF)数据文件、ODB++数据文件、一个或多个国际电子工业联接协会(IPC)数据文件(诸如IPC-2511A、IPC-2511B或IPC-2581,以提供一些实例)、一个或多个国际标准化组织(ISO)数据文件(诸如ISO10303-210,以提供一个实例)。在图1B所示的示例性实施例中,可以以与图1A所述的类似的方式使用一个或多个标准单元库中的一个或多个标准单元和/或与一个或多个标准单元相对应的一个或多个标准单元变型形成电子架构设计。
MEOL处理应用124根据用于电连接一个或多个半导体器件的电子架构设计形成一个或多个局部互连件(诸如一个或多个通孔和/或一个或多个接触件,以提供一些实例)。在图1B所示的示例性实施例中,一个或多个局部互连件表示用于一个或多个半导体器件之间的一个或多个全局互连件的各个连接,从而将模拟电路和/或数字电路的一个或多个半导体器件电连接。
BEOL处理应用126根据形成电子器件的模拟电路和/或数字电路的电子架构设计在局部互连件之间形成一个或多个全局互连件。
示例性电子设计平台所使用的示例性标准单元库
图2示出根据本发明的示例性实施例的示例性标准单元库。如上面在图1A中所述,布置和布线应用104根据电子器件的模拟电路和/或数字电路的一个或多个高级软件等级描述,从标准单元库(诸如,图2所示的标准单元库200)中选择一个或多个标准单元和/或与一个或多个标准单元相对应一个或多个标准单元变型,以布置到电子器件设计基板面上。如图2所示,标准单元库200包括具有标准单元204.1至204.b的标准单元库202,其与具有标准单元变型208.1.1至208.b.1和标准单元变型208.1.a至208.b.a的标准单元变型库206.1至206.a相关。标准单元库200可以表示如上面在图1A中所述的标准单元库110的示例性实施例。在示例性实施例中,半导体代工厂可以从标准单元库202中开发标准单元变型库206.1至206.a和/或将标准单元变型库206.1至206.a传送给半导体代工厂的一个或多个客户以设计、模拟、分析和/或验证电子器件的模拟电路和/或数字电路。
在图2所示的示例性实施例中,标准单元204.1至204.b表示可以用于形成电子器件的模拟电路的和/或数字电路的电子架构设计的几何形状、几何形状的位置和/或几何形状之间的互连件。如图2所示,标准单元变型库206.1内的标准单元变型208.1.1至208.b.1和标准单元变型库206.a内的标准单元变型208.1.a至208.b.a表示标准单元库202内的标准单元204.1至204.b的一个或多个标准单元变型。例如,标准单元变型208.1.1至208.b.1表示标准单元204.1至204.b的第一变型,并且标准单元变型208.1.a至208.b.a表示标准单元204.1至204.b的第a次变型。标准单元变型208.1.1至208.b.1和标准单元变型208.1.a至208.b.a具有与标准单元204.1至204.b类似的功能,但是分别在几何形状、几何形状的位置和/或几何形状之间的互连件方面彼此不同。尽管图2示出具有标准单元变型208.1.1至208.b.1的标准单元变型库206.1和具有标准单元变型208.1.a至208.b.a的标准单元变型库206.a,这仅用于说明的目的。相关领域的技术人员将意识到在不背离本发明的精神和范围的情况下,标准单元变型库206.1至206.a可以包括标准单元204.1至204.b的不同变型。在示例性实施例中,标准单元变型库206.1可以包括至少标准单元变型208.1.1,标准单元变型库206.a可以包括至少标准单元变型208.1.a。在一些情况下,并非所有标准单元204.1至204.b都需要与如图2所示的标准单元变型库206.1至206.a中的标准单元变型库相关,以减小标准单元库200所需的尺寸。
用于一个或多个标准单元变型的标准单元的示例性选择
图3示出根据本发明的示例性实施例的布置电子器件的模拟电路和/或数字电路的电子器件设计基板面。在图3所示的示例性实施例中,电子器件设计基板面300可以在逻辑地划分为一系列合法位点行302.1至302.m,它们与一系列合法位点列304.1至304.i相交,以形成合法位点306.1.1至306.m.i的阵列。合法位点306.1.1至306.m.i的阵列可以用于布置一个或多个标准单元(诸如,上面在图1A中所述的一个或多个标准单元和/或一个或多个标准单元变型,和/或上面在图2所示的标准单元204.1至204.b和/或标准单元变型208.1.1至208.b.1和标准单元变型208.1.a至208.b.a,以提供一些实例)。然而,如图3所示的合法位点306.1.1至306.m.i的阵列仅用于说明的目的。相关领域的技术人员将意识到,在不背离本发明的精神和范围的情况下,电子器件设计基板面300可以逻辑地划分为合法位点的其他配置和布置。通常,合法位点表示集成电路设计布置一个或多个标准单元的基本单元。这样,一个或多个标准单元中的每个都可以表征为需要合法位点阵列306.1.1至306.m.i中的一个或多个合法位点,以布置到电子器件设计基板面300上。
图4示出根据本发明的示例性实施例的用于开发示例性标准单元库的示例性操作的流程图,本发明不限于这个操作的描述。相反,对于相关领域的普通技术人员来说将是显而易见的其他操作控制流程在本发明的范围和精神内。下面的讨论描述了用于开发示例性标准单元库(诸如上面在图1A中描述的标准单元库110和/或上面在图2中描述的标准单元库200,以提供一些实例)的示例性操作控制流程400。在示例性实施例中,半导体代工厂可以利用操作控制流程400来开发标准单元库和/或将标准单元库传送至半导体代工厂的一个或多个客户以设计、模拟、分析和/或验证电子器件的模拟电路和/或数字电路。
在操作402处,操作控制流程400从标准单元库中选择标准单元(诸如从上文在图1A中所述的标准单元库110中选择的标准单元中一个和/或从上文在图2中所述的标准单元库202中选择的标准单元204.1至204.b中的一个)。标准单元包括几何形状、几何形状的位置和/或几何形状之间的互连件,其可用于实现用于电子器件的模拟电路和/或数字电路的一个或多个高级软件等级描述的一个或多个逻辑操作、一个或多个算法操作、一个或多个控制操作和/或其他任何在不背离本发明的精神和范围的情况下对于相关领域的技术人员而言将是显而易见的合适操作或多个操作中的一个或多个。
在操作404处,操作控制流程400确定是否为来自操作402的标准单元开发一个或多个标准单元变型。在图4所示的示例性实施例中,操作控制流程400根据合法位点将来自操作402的标准单元的尺寸与合法位点的阈值数量进行比较,以确定是否为来自操作402的标准单元开发一个或多个标准单元变型。在一些情况下,在将来自一个或多个所选标准单元中的需要小于或等于合法位点的阈值数量的小的标准单元(还称为临界标准单元)布置到电子器件设计基板面上之前,布置和布线应用(诸如布置和布线应用104,以提供实例)将来自一个或多个标准单元中的需要大于合法位点的阈值数量(例如五个)的大的标准单元布置到电子器件设计基板面上(诸如电子器件设计基板面300,以提供实例)。在这些情况下,在将大的标准单元布置到电子器件设计基板面上之后,在电子器件设计基板面内可用于布置这些临界标准单元的合法位点较少。这样,在图4所示的示例性实施例中,操作控制流程400开发用于这些临界标准单元的一个或多个标准单元变型。当来自操作402的标准单元的尺寸大于合法位点的阈值数量时,来自操作402中的标准单元表示大的标准单元。在这种情况下,不需要为来自操作402中的标准单元开发一个或多个标准单元变型,并且操作控制流程400恢复到操作402以从标准单元库中选择另一标准单元。否则,当来自操作402的标准单元的尺寸小于或等于合法位点的阈值数量时,操作控制流程400进行至操作406以为来自操作402的标准单元开发一个或多个标准单元变型。
在操作406处,操作控制流程400为来自操作402的标准单元开发一个或多个标准单元变型。在图4的示例性实施例中,操作控制流程400为来自操作402的标准单元开发一个或多个标准单元变型以满足一个或多个电子设计约束。一个或多个电子设计约束可以包括由制造电子器件的一个或多个半导体代工厂和/或一个或多个半导体技术节点限定的一个或多个推荐参数。一个或多个推荐参数可以包括推荐的用于一个或多个标准单元的几何形状,推荐的用于一个或多个标准单元的几何形状的位置,推荐的几何形状之间的互连件,和/或在不脱离本发明的精神和范围的情况下,对于相关领域的技术人员来说将是显而易见的任何其他合适的电子设计约束或多个电子设计约束。例如,一个或多个推荐参数可以包括推荐的用于一个或多个标准单元的电源、接地端和/或输入/输出连接的位置,推荐的电子器件设计基板面上的一个或多个扩散层、一个或多个多晶硅层、一个或多个金属层、和/或多个层之间的一个或多个互连件的位置。然而,在一些情况下,来自操作402的标准单元可以沿着线性轴(诸如沿着笛卡尔坐标系的“x”轴或笛卡尔坐标系的“y”,以提供一些实例)形成镜像,以满足一个或多个电子设计约束。与来自操作402的标准单元相比,该作为镜像的标准单元与来自操作402的标准单元具有类似的功能,但是作为镜像的标准单元的几何形状、几何形状的位置、几何形状之间的互连件与来自操作402的标准单元关于线性轴互为镜像。在这些情况下,操作控制流程400不会为来自操作402的标准单元开发一个或多个标准单元变型。相反,操作控制流程400返回至操作402以从标准单元库中选择另一标准单元。再次参考图4,在为来自操作402的标准单元开发一个或多个标准单元变型之后,操作控制流程400恢复至操作402以从标准单元库中选择另一标准单元。在示例性实施例中,在为来自操作402的标准单元开发一个或多个标准单元变型之后,操作控制流程400可以将标准单元库传送给半导体代工厂的一个或多个客户以设计、模拟、分析和/或验证电子器件的模拟电路和/或数字电路
一个或多个标准单元的示例性变型
图5A和图5B分别示出根据本发明的示例性实施例的第一示例性标准单元和与第一示例性标准单元相对应的第一示例性标准单元变型的示例性半导体布局图;图5A和图5B分别示了位于半导体堆叠件内的标准单元500和标准单元变型502的简化的示例性布局图。半导体堆叠件包括一个或多个扩散层、一个或多个多晶硅层、和/或一个或多个金属层以及这些层之间的一个或多个互连件。为了简明,图5A和图5B示出这些层之间的一个或多个互连件。相关领域的技术人员将意识到,在不背离本发明的精神和本范围的情况下,标准单元500和标准单元变型502可以包括一个或多个扩散层、一个或多个多晶硅层和/或一个或多个金属层。
如上所述,一个或多个半导体代工厂和/或一个或多个半导体技术节点可将一个或多个电子设计约束施加到一个或多个扩散层、一个或多个多晶硅层和/或一个或多个金属层以及这些层之间的一个或多个互连件上。一个或多个电子设计约束可以限制电子器件设计基板面内的合法位点(诸如电子器件设计基板面300,以提供实例),其中,该合法位点可以用于布置标准单元500和/或标准单元变型502。在如图5A和图5B所示,标准单元500和标准单元变型502包括一个或多个互连件(如图5A和图5B所示的一个或多个方框“x”)以将标准单元500和标准单元变型502分别电连接至各种电压(诸如电源电压和/或接地电压,以提供一些实例)。
在图5A和图5B所示的示例性实施例中,一个或多个半导体代工厂和/或一个或多个半导体技术节点推荐电子器件设计基板面内的一个或多个互连件的一个或多个位置。例如,12nm半导体技术节点(还称为N12半导体技术节点)推荐位于至少两倍的最小间隔(还为多晶硅节距)之间处一个或多个互连件,其中,一个或多个互连件位于半导体堆叠件的一个或多个多晶硅层内的各个多晶硅区之间。在图5A所示的实例中,标准单元500的一个或多个互连件可以与来自电气设计轨道504.1至504.h中的偶数编号的电气设计轨道504.2至504.h重合,以满足N12半导体技术节点的一个或多个互连件的位置。电气设计轨道504.1至504.h表示用于布置标准单元500和/或标准单元变型502的电子器件设计基板面内的逻辑电气设计轨道。在图5A和图5B所示的示例性实施例中,通过至少一个多晶硅节距将电气设计轨道504.1至504.h彼此分离。类似地,在图5B所示的实例中,标准单元变型502的一个或多个互连件可以与来自电气设计轨道504.1至504.h中的奇数编号的电气设计轨道504.1至504.(h-1)重合,以满足N12半导体技术节点的一个或多个互连件的位置。在图5B所示的示例性实施例中,标准单元变型502具有与标准单元500类似的功能,但是在布置一个或多个互连件上与标准单元500不同。
图6A和图6B还分别示出根据本发明的示例性实施例的第一示例性标准单元和与第一标准单元相对应的第一示例性标准单元变型的示例性半导体布局图。图6A和图6B分别示了位于半导体堆叠件内的用于逻辑NAND门的标准单元600和用于逻辑NAND门的标准单元变型602的简化的示例性布局图。标准单元600和标准单元变型602可以表示如上在图5A中所述的标准单元500和如上在图5B中所述的标准单元变型502的示例性实施例。
如图6A所示,标准单元600包括位于来自电气设计轨道504.1至504.6中的偶数编号的电气设计轨道504.2、504.4和504.6处的一个或多个互连件(如图6A和图6B中的一个或多个方框“x”所示),以满足N12半导体技术节点的一个或多个互连件的位置,并且标准单元变型602包括位于来自电气设计轨道504.1至504.6中的奇数编号的电气设计轨道504.3和504.5处的一个或多个互连件,以满足N12半导体技术节点的一个或多个互连件的位置。此外,在图6A和图6B还示出半导体堆叠件内的一个或多个金属层(在图6A和图6B中使用灰色阴影来示出),和/或一个或多个其他互连件(如图6A和图6B中的一个或多个方框“+”所示)。如图6A和图6B所示,在一些情况下,几何形状、几何形状的位置和/或一个或多个金属层的几何形状之间的互连件和/或一个或多个金属层之间的一个或多个其他互连件也可以在标准单元600以和标准单元变型602之间变化。尽管一个或多个金属层和/或一个或多个其他互连件可以在标准单元600和标准单元变型602之间变化,但是相关领域的技术人员将认意识到,在不背离本发明的精神和范围的情况下,标准单元600和标准单元变型602具有彼此类似的功能,即,逻辑NAND操作。然而,相关领域的技术人员将意识到,在不背离本发明的精神和范围的情况下,标准单元600和/或标准单元变型602的其他功能是可能的。
图7A和图7B分别示出根据本发明的示例性实施例的第二示例性标准单元和与第二示例性标准单元相对应的第二示例性标准单元变型的示例性半导体布局图。图7A和图7B分别示了位于半导体堆叠件内的标准单元700和标准单元变型702的简化的示例性布局图。半导体堆叠件包括一个或多个扩散层、一个或多个多晶硅层、和/或一个或多个金属层以及这些层之间的一个或多个互连件。为了简明,图7A和图7B示出电子器件设计基板面(诸如,电子器件设计基板面300,以提供实例)内由标准单元700和标准单元变型702所占据的合法位点。相关领域的技术人员将意识到,在不背离本发明的精神和本范围的情况下,标准单元700和标准单元变型702可以包括一个或多个扩散层、一个或多个多晶硅层、和/或一个或多个金属层以及这些层之间的一个或多个互连件。
标准单元700和标准单元变型702具有类似的功能,但在由标准单元700和标准单元变型702占据的合法位点704.1至704.p的配置和布置方面不同。如图7A所示,标准单元700在第一方向(诸如沿笛卡尔坐标系的“x”轴,以提供实例)以矩形方式配置和布置,以占据合法位点704.1至704.p。而且,标准单元变型702在第一方向上以“L形”方式配置和布置,以占据如图7B所示的合法位点704.1至704.p。相关领域的技术人员将意识到,在不背离本发明的精神和范围的情况下,用于具有合法位点704.1至704.p的其他直线配置和布置的标准单元700的其他标准单元变型是可能的。
标准单元和/或标准单元变型的布置
图8示出根据本发明的示例性实施例的用于布置来自标准单元库中标准单元和/或标准单元变型的示例性操作的流程图。本发明不限于这个操作性描述。相反,对于相关领域的普通技术人员来说,其他操作控制流程在本发明的范围和精神内将是显而易见的。以下讨论描述了布置来自标准单元库(如上面在图1A中描述的标准单元库110和/或如上文在图2中描述的标准单元库200,以提供一些实例)的一个或多个标准单元和/或一个或多个标准单元变型的布置和布线应用(诸如布置和布线应用104,以提供实例)的示例性操作控制流程800,。
在操作802处,操作控制流程800从标准单元库中选择标准单元(诸如从上文在图1A中描述的标准单元库110中选择的标准单元中一个和/或从上文在图2中描述的标准单元库202中选择的标准单元204.1至204.b中的一个),以布置到电子器件设计基板面(诸如电子器件设计基板面300,以提供一些实例)上。标准单元包括几何形状、几何形状的位置、和/或几何形状之间的互连件,它们可用于实现用于电子器件的模拟电路和/或数字电路的一个或多个高级软件等级描述的一个或多个逻辑操作、一个或多个算法操作、一个或多个控制操作和/或在不背离本发明的精神和范围的情况下对于相关领域的技术人员而言将是显而易见的任何其他合适的一个操作或多个操作中的一个或多个。
在操作804处,操作控制流程800确定来自操作802的标准单元的布置是否表示来自操作802的标准单元到电子器件设计基板面上的合法布置。在图8所示的示例性实施例中,当来自操作802的标准单元的布置满足一个或多个电子设计约束时,来自操作802的标准单元的这种布置表示来自操作802的标准单元到电子器件设计基板面上的合法布置。一个或多个电子设计约束可以包括由制造电子器件的一个或多个半导体代工厂和/或一个或多个半导体技术节点限定的一个或多个推荐参数。一个或多个推荐参数可以包括推荐的几何形状、推荐的几何形状的位置、推荐的几何形状之间的互连件和/或在不背离本发明的精神和范围的情况下对于相关领域的技术人员来说将是显而易见的任何其他合适的一个电子设计约束或多个电子设计约束。例如,一个或多个推荐参数可以包括推荐的用于电源、接地端和/或输入/输出连接的位置,推荐的电子器件设计基板面上的一个或多个扩散层、一个或多个多晶硅层、一个或多个金属层和/或多个层之间的一个或多个互连件的位置。当来自操作802的标准单元的布置代表来自操作802的标准单元到电子器件设计基板面上的合法布置时,操作控制流程800返回至操作802以从标准单元库中选择另一标准单元。否则,当来自操作802的标准单元的布置不代表来自操作802的标准单元至电子器件设计基板面上的合法布置时,操作控制流程800进行至操作806。
在操作806处,操作控制流程800使来自操作802的标准单元沿着线性轴(诸如沿着笛卡尔坐标系的“x”轴或笛卡尔坐标系的“y”轴,以提供一些实例)形成镜像。与来自操作802的标准单元相比,该作为镜像的标准单元与来自操作802的标准单元具有类似的功能,但是作为镜像的标准单元的几何形状、几何形状的位置、几何形状之间的互连件与来自操作802的标准单元关于线性轴互为镜像。
在操作808处,操作控制流程800确定来自操作806的作为镜像的标准单元的布置是否表示来自操作806的作为镜像的标准单元如上述操作804所述以基本相同的方式合法布置在电子器件设计基板面上。当来自操作806的作为镜像的标准单元的布置表示来自操作806的作为镜像的标准单元到电子器件设计基板面上的合法布置时,操作控制流程800返回至操作802以从标准单元库中选择另一标准单元。否则,当来自操作806的作为镜像的标准单元的布置不表示来自操作806的作为镜像的标准单元到电子器件设计基板面上的合法布置时,操作控制流程800进行至操作810。
在操作810处,操作控制流程800从标准单元库中选择标准单元变型(例如,如上文在图1A中所述的从标准单元库110中选择一个标准单元变型,和/或如上文在图2中所述的从标准单元变型库206.1和标准单元变型库206.a中选择标准单元变型208.1.1至208.2.b和/或标准单元变型208.1.a至208.2.a中的一个),以布置到电子器件设计基板面上。来自标准单元库中的标准单元变型表示来自操作802的标准单元的变型,其中,来自操作802的标准单元的变型具有与来自操作802的标准单元类似的功能、但是在几何形状、几何形状的位置和/或几何形状之间的互连件方面不同。
在操作812处,操作控制流程800确定来自操作810的标准单元变型的布置是否表示来自操作810的标准单元变型如上述操作804所述以大致类似的方式合法布置到电子器件设计基板面上。当来自操作810的标准单元变型的布置代表来自操作810的标准单元变型到电子器件设计基板面上的合法布置时,操作控制流程800返回至操作802以从标准单元库中选择另一标准单元。否则,当来自操作810的标准单元变型的布置不表示来自操作810的标准单元变型到电子器件设计基板面上的合法布置,操作控制流程800继续返回至操作810以从标准单元库中选择另一标准单元变型以布置到电子器件设计基板面上。
用于实现示例性设计平台的示例性计算机系统
图9示出根据本发明的示例性实施例的用于实现示例性设计平台的示例性计算机系统的框图。计算机系统900可以用来实现电子设计平台100和/或电子设计平台120。然而,在一些情况下,可以使用多于一个计算机系统900来实现电子设计平台100。在阅读该描述之后,如何使用其他计算机系统和/或计算机架构来实现实施例对于相关领域的技术人员将是显而易见的。
计算机系统900包括一个或多个处理器904(还称为中央处理单元或CPU)以执行如上在图1A中描述的合成应用102、布置和布线应用104、模拟应用106和/或验证,和/或执行如上在图1B中描述的FEOL处理应用122、MEOL处理应用124和BEOL处理应用126。一个或多个处理器904可以连接至通信基础设施或总线906。在示例性实施例中,一个或多个处理器904中的一个或多个可以实现为图像处理单元(GPU)。GPU表示被设计为快速处理电子器件上的数学密集型应用的专用电子电路。GPU可以具有高度并行的结构,这对于大块数据(诸如对计算机图形应用、图像和视频通用的数学密集数据)的并行处理是高效的。
计算机系统900还包括通过用户输入端/输出端接口902与通信基础设施906通信的用户输入/输出设备903(诸如监视器、键盘、指示设备等)。
计算机系统900还包括主或初级存储器908(诸如随机存取存储器(RAM),以提供实例)。主存储器908可以包括一个或多个高速缓存级。主存储器908具有存储在其中的控制逻辑(即,计算机软件)和/或数据(诸如上面在图1A中描述的标准单元库110)。计算机系统900还可以包括一个或多个次存储设备或存储器910以存储如上在图1A中所述的标准单元库110。一个或多个次存储设备或存储器910可以包括例如硬盘驱动器912和/或可移动存储设备或驱动器914。可移动存储驱动器914可以是软盘驱动器、磁带驱动器、光盘驱动器、光存储设备、磁带备份设备和/或任何其他存储设备/驱动器。可移动存储驱动器914可以与可移动存储单元918交互。可移动存储单元918包括存储在其上的计算机软件(控制逻辑)和/或数据的计算机可用或可读存储设备。可移动存储单元918可以是软盘、磁带、光盘、DVD、光存储盘和/任何其他计算机数据存储设备。可移动存储驱动器914以众所周知的方式从可移动存储单元918读取和/或写入到可移动存储单元918。
根据示例性实施例,一个或多个次存储设备或存储器910可以包括允许计算机系统900访问计算机程序和/或其他指令和/或数据的其他方式、手段或其他方法。这样的方式、手段或其他方法可以包括例如可移动存储单元922和接口920。可移动存储单元922和接口920的实例可以包括程序盒和盒接口(诸如在视频游戏设备中找到的接口)、可移动存储器芯片(诸如EPROM或PROM)和相关插座、存储条和USB端口、存储卡和相关的存储卡插槽、和/或任何其他可移动存储单元和相关的接口。
计算机系统900还可以包括通信或网络接口924。通信或网络接口924使得计算机系统900能够与远程设备、远程网络、远程实体等(由参考数字928分别地和共同地指代)的任何组合进行通信和交互。例如,通信或网络接口924可以允许计算机系统900通过通信路径926与远程设备928通信,通信路径926可以是有线和/或无线的,并且可以包括LAN、WAN、因特网等。控制逻辑和/或数据可以通过通信路径926传送至计算机系统900和从计算机系统900传送。在示例性实施例中,远程设备928可以包括执行上文在图1A中描述的电子设计平台100的一个或多个计算设备、处理器、控制器或在不背离本发明的精神和范围的情况下对于相关领域的技术人员来说将是显而易见的其他器件。在另一示例性实施例中,远程设备928可以包括执行上文在图1B中描述的电子设计平台120的一个或多个计算设备、处理器、控制器或在不背离本发明的精神和范围的情况下对于相关领域的技术人员来说将是显而易见的其他器件。
在实施例中,包括了具有存储在其上的控制逻辑(软件)的有形计算机可用或可读介质的有形的制造设备或制造品在本文中也称为计算机程序产品或程序存储设备。这包括,但不限于计算机系统900、主存储器908、次存储器910以及可移动存储单元918和922,以及体现上述的任意组合的有形设备。当由一个或多个数据处理设备(诸如计算机系统900)执行这样的控制逻辑时,这样的控制逻辑使得这样的数据处理设备如本文所述那样操作。
基于本发明中包括的教导,如何使用不同于图9所示的数据处理设备、计算机系统和/或计算机体系结构来制造和使用本发明对于相关领域的技术人员将显而易见的。特别地,可以利用除了本文描述的那些之外的软件、硬件和/或操作系统实现来操作实施例。
结论
前面的详细描述公开了一种用于开发电子器件的电子架构设计的系统。该系统包括一个存储器和一个处理器。存储器存储标准单元库,标准单元库包括标准单元和标准单元变型。处理器执行布置和布线应用。当由处理器执行布置和布线应用时,布置和布线应用使得处理器:将标准单元布置到电子器件设计基板面上;当第一标准单元不满足电子设计约束时从标准单元变型中选择与标准单元中的第一标准单元相对应的第一标准单元变型;当第一标准单元不满足电子设计约束时,用第一标准单元变型替换电子器件设计基板面内的第一标准单元;并且对布置到电子器件设计基板面上的标准单元中的标准单元和第一标准单元变型进行布线,以开发用于电子器件的电子架构设计。
在一些实施例中,包括第一多个几何形状和第一多个互连件的所述第一标准单元被配置和布置为执行功能,以及其中,包括分别与所述第一多个几何形状和所述第一多个互连件不同的第二多个几何形状和第二多个互连件的所述第一标准单元变型被配置和布置为执行所述功能。
在一些实施例中,当通过所述处理器执行所述布置和布线应用时,所述布置和布线应用配置所述处理器以使得在布置占据多个合法位点中的较少的合法位点的所述第一标准单元之前,布置占据所述多个合法位点中的较多的所述合法位点的第二标准单元,其中,所述第二标准单元的一个或多个标准单元变型未包括在所述多个标准单元变型内。
在一些实施例中,所述电子设计约束包括:推荐参数,由制造所述电子器件的代工厂和/或半导体技术节点所限定。
在一些实施例中,所述推荐参数包括:用于所述第一标准单元的一个或多个电源连接、一个或多个接地连接或一个或多个输入/输出连接的一个或多个推荐位置,用于所述第一标准单元的一个或多个扩散层、一个或多个多晶硅层、一个或多个金属层的一个或多个推荐位置,或用于位于所述一个或多个扩散层、所述一个或多个多晶硅层、或者所述一个或多个金属层之间的一个或多个互连件的一个或多个推荐位置。
在一些实施例中,当通过所述处理器执行所述布置和布线应用时,所述布置和布线应用进一步配置所述处理器以验证所述第一标准单元是否满足所述电子设计约束。前面的详细描述额外地公开了一种开发标准单元库的方法。该方法包括从多个标准单元库中的一个标准单元库中选择标准单元,将标准单元所占据的合法位点的数量与合法位点的阈值数量进行比较,并当标准单元占据的合法位点的数量小于或等于合法位点的阈值数量时,开发包括与标准单元相对应的标准单元变型的标准单元变型库。
在一些实施例中,该方法还包括:通过所述计算机系统验证所述标准单元变型是否满足所述标准单元不能满足的电子设计约束。
在一些实施例中,所述电子设计约束包括:推荐参数,由制造所述电子器件的代工厂和/或半导体技术节点所限定的。
在一些实施例中,所述推荐参数包括:用于所述第一标准单元的一个或多个电源连接、一个或多个接地连接或一个或多个输入/输出连接的一个或多个推荐位置,用于所述第一标准单元的一个或多个扩散层、一个或多个多晶硅层、一个或多个金属层的一个或多个推荐位置,或用于所述一个或多个扩散层、所述一个或多个多晶硅层、或所述一个或多个金属层之间的一个或多个互连件的一个或多个推荐位置。
在一些实施例中,该方法还包括:通过所述计算机系统将所述多个标准单元库传送给客户以利用所述多个标准单元库来设计电子器件。
在一些实施例中,包括第一多个几何形状和第一多个互连件的第一标准单元被配置和布置为实施功能,以及其中,包括分别与所述第一多个几何形状和所述第一多个互连件不同的第二多个几何形状和第二多个互连件的所述标准单元变型被配置和布置为实施所述功能。
在一些实施例中,该方法还包括:当由所述标准单元的尺寸大于所述合法位点的阈值数量时,不通过所述计算机系统开发包括与所述标准单元相对应的所述标准单元变型的所述标准单元变型库。
在一些实施例中,该方法还包括:不断地重复选择、比较和开发所述标准单元库中的每个所述标准单元。
前面的详细描述进一步公开了一种用于在半导体衬底上制造电子器件的系统。该系统包括存储器和处理器。存储器存储用于电子器件的电子架构设计,利用多个标准单元变型库开发架构设计,多个标准单元变型库包括多个标准单元变型,其中,多个标准单元变型与多个标准单元中的占据小于或等于合法位点的阈值数量的多个标准单元相对应。处理器执行前段制程(FEOL)处理应用,当由处理器执行FEOL时,FEOL配置处理器以根据电子架构设计在半导体衬底内和/或上形成电子器件的一个或多个半导体器件。
在一些实施例中,所述处理器还被配置为执行中间段制程(MEOL)处理应用,当通过所述处理器执行所述中间段制程时,所述中间段制程进一步配置所述处理器以使得:根据所述电子架构设计形成一个或多个第一互连件以用于电连接所述一个或多个半导体器件。
在一些实施例中,所述一个或多个第一互连件包括:一个或多个通孔;或一个或多个接触件。
在一些实施例中,所述处理器还被配置为执行后段制程(BEOL)处理应用,当通过所述处理器执行所述后段制程时,所述后段制程还配置所述处理器以使得:根据所述电子架构设计在所述一个或多个第一互连件之间形成一个或多个第二互连件以电连接所述一个或多个半导体器件以形成所述电子器件。
在一些实施例中,所述多个标准单元中的包括第一多个几何形状和第一多个互连件的标准单元被配置和布置为实施功能,以及其中,与所述标准单元相对应的所述多个标准单元变型中的包括分别与所述第一多个几何形状和所述第一多个互连件不同的第二多个几何形状和第二多个互连件的标准单元变型被配置和布置为实施所述功能。
在一些实施例中,当通过所述处理器执行所述前段制程时,所述前段制程配置所述处理器以在所述半导体衬底内形成一个或多个阱或在所述半导体衬底内和/或上形成模拟电路和/或数字电路的所述一个或多个半导体器件的一个或多个端子。

Claims (20)

1.一种用于开发电子器件的电子架构设计的系统,所述系统包括:
存储器,存储多个标准单元库,所述多个标准单元库包括多个标准单元和多个标准单元变型;以及
处理器,配置为执行布置和布线应用,当由所述处理器执行所述布置和布线应用时,所述布置和布线应用配置所述处理器以使得:
将所述多个标准单元布置到电子器件设计基板面上,
当第一标准单元不满足电子设计约束时,从所述多个标准单元变型中选择与所述多个标准单元中的所述第一标准单元相对应的第一标准单元变型,
当所述第一标准单元不满足所述电子设计约束时,用所述第一标准单元变型替换所述电子器件设计基板面内的所述第一标准单元;以及
对布置到所述电子器件设计基板面上的所述多个标准单元中的标准单元和所述第一标准单元变型进行布线以开发用于电子器件的电子架构设计,
其中,当通过所述处理器执行所述布置和布线应用时,所述布置和布线应用配置所述处理器以使得在布置占据多个合法位点中的较少的合法位点的所述第一标准单元之前,布置占据所述多个合法位点中的较多的所述合法位点的第二标准单元,
其中,所述第二标准单元的一个或多个标准单元变型未包括在所述多个标准单元变型内。
2.根据权利要求1所述的系统,其中,包括第一多个几何形状和第一多个互连件的所述第一标准单元被配置和布置为执行功能,以及
其中,包括分别与所述第一多个几何形状和所述第一多个互连件不同的第二多个几何形状和第二多个互连件的所述第一标准单元变型被配置和布置为执行所述功能。
3.根据权利要求1所述的系统,其中,所述第一标准单元和所述第一标准单元变型包括一个或多个扩散层、一个或多个多晶硅层和/或一个或多个金属层。
4.根据权利要求1所述的系统,其中,所述电子设计约束包括:
推荐参数,由制造所述电子器件的代工厂和/或半导体技术节点所限定。
5.根据权利要求4所述的系统,其中,所述推荐参数包括:
用于所述第一标准单元的一个或多个电源连接、一个或多个接地连接或一个或多个输入/输出连接的一个或多个推荐位置,
用于所述第一标准单元的一个或多个扩散层、一个或多个多晶硅层、一个或多个金属层的一个或多个推荐位置,或
用于位于所述一个或多个扩散层、所述一个或多个多晶硅层、或者所述一个或多个金属层之间的一个或多个互连件的一个或多个推荐位置。
6.根据权利要求1所述的系统,其中,当通过所述处理器执行所述布置和布线应用时,所述布置和布线应用进一步配置所述处理器以验证所述第一标准单元是否满足所述电子设计约束。
7.一种开发多个标准单元库的方法,所述方法包括:
通过计算机系统从多个标准单元库中的标准单元库中选择标准单元;
通过计算机系统将由所述标准单元占据的合法位点的数量与所述合法位点的阈值数量进行比较;以及
当由所述标准单元占据的所述合法位点的数量小于或等于所述合法位点的阈值数量时,通过所述计算机系统,开发包括与所述标准单元相对应的标准单元变型的标准单元变型库。
8.根据权利要求7所述的方法,还包括:
通过所述计算机系统验证所述标准单元变型是否满足所述标准单元不能满足的电子设计约束。
9.根据权利要求8所述的方法,其中,所述电子设计约束包括:
推荐参数,由制造电子器件的代工厂和/或半导体技术节点所限定。
10.根据权利要求9所述的方法,其中,所述推荐参数包括:
用于第一标准单元的一个或多个电源连接、一个或多个接地连接或一个或多个输入/输出连接的一个或多个推荐位置,
用于所述第一标准单元的一个或多个扩散层、一个或多个多晶硅层、一个或多个金属层的一个或多个推荐位置,或
用于所述一个或多个扩散层、所述一个或多个多晶硅层、或所述一个或多个金属层之间的一个或多个互连件的一个或多个推荐位置。
11.根据权利要求7所述的方法,还包括:
通过所述计算机系统将所述多个标准单元库传送给客户以利用所述多个标准单元库来设计电子器件。
12.根据权利要求7所述的方法,其中,包括第一多个几何形状和第一多个互连件的第一标准单元被配置和布置为实施功能,以及
其中,包括分别与所述第一多个几何形状和所述第一多个互连件不同的第二多个几何形状和第二多个互连件的所述标准单元变型被配置和布置为实施所述功能。
13.根据权利要求7所述的方法,还包括:
当由所述标准单元的尺寸大于所述合法位点的阈值数量时,不通过所述计算机系统开发包括与所述标准单元相对应的所述标准单元变型的所述标准单元变型库。
14.根据权利要求7所述的方法,还包括:
不断地重复选择、比较和开发所述标准单元库中的每个所述标准单元。
15.一种用于在半导体衬底上制造电子器件的系统,所述系统包括:
存储器,存储电子器件的电子架构设计,利用多个标准单元变型库开发所述电子架构设计,所述多个标准单元变型库包括多个标准单元变型,其中,所述多个标准单元变型对应于多个标准单元中的占据小于或等于合法位点的阈值数量的标准单元,
处理器,被配置为执行前段制程处理应用,当通过所述处理器执行所述前段制程时,所述前段制程配置所述处理器以使得:
根据所述电子架构设计在所述半导体衬底内和/或上形成所述电子器件的一个或多个半导体器件。
16.根据权利要求15所述的系统,其中,所述处理器还被配置为执行中间段制程处理应用,当通过所述处理器执行所述中间段制程时,所述中间段制程进一步配置所述处理器以使得:
根据所述电子架构设计形成一个或多个第一互连件以用于电连接所述一个或多个半导体器件。
17.根据权利要求16所述的系统,其中,所述一个或多个第一互连件包括:
一个或多个通孔;或
一个或多个接触件。
18.根据权利要求16所述的系统,其中,所述处理器还被配置为执行后段制程处理应用,当通过所述处理器执行所述后段制程时,所述后段制程还配置所述处理器以使得:
根据所述电子架构设计在所述一个或多个第一互连件之间形成一个或多个第二互连件以电连接所述一个或多个半导体器件以形成所述电子器件。
19.根据权利要求15所述的系统,其中,所述多个标准单元中的包括第一多个几何形状和第一多个互连件的标准单元被配置和布置为实施功能,以及
其中,与所述标准单元相对应的所述多个标准单元变型中的包括分别与所述第一多个几何形状和所述第一多个互连件不同的第二多个几何形状和第二多个互连件的标准单元变型被配置和布置为实施所述功能。
20.根据权利要求15所述的系统,其中,当通过所述处理器执行所述前段制程时,所述前段制程配置所述处理器以在所述半导体衬底内形成一个或多个阱或在所述半导体衬底内和/或上形成模拟电路和/或数字电路的所述一个或多个半导体器件的一个或多个端子。
CN201810049128.5A 2017-08-30 2018-01-18 开发电子器件的架构设计和制造电子器件的系统及方法 Active CN109426693B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762552049P 2017-08-30 2017-08-30
US62/552,049 2017-08-30
US15/800,693 US10741539B2 (en) 2017-08-30 2017-11-01 Standard cells and variations thereof within a standard cell library
US15/800,693 2017-11-01

Publications (2)

Publication Number Publication Date
CN109426693A CN109426693A (zh) 2019-03-05
CN109426693B true CN109426693B (zh) 2022-10-25

Family

ID=65435155

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810049128.5A Active CN109426693B (zh) 2017-08-30 2018-01-18 开发电子器件的架构设计和制造电子器件的系统及方法

Country Status (4)

Country Link
US (2) US10741539B2 (zh)
KR (1) KR102049103B1 (zh)
CN (1) CN109426693B (zh)
TW (1) TWI683228B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10741539B2 (en) * 2017-08-30 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Standard cells and variations thereof within a standard cell library
DE102017127276A1 (de) 2017-08-30 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Standardzellen und abwandlungen davon innerhalb einer standardzellenbibliothek
CN113536730A (zh) * 2021-06-16 2021-10-22 浙江华消科技有限公司 控制柜的布线方法、装置、电子装置和存储介质
US20230307484A1 (en) * 2022-03-22 2023-09-28 Omnivision Technologies, Inc. Pixel Cell Having Anti-Blooming Structure and Image Sensor
KR20230124511A (ko) 2023-08-07 2023-08-25 주식회사 쓰리디코리아 대형 사물을 필라멘트 또는 발포 필라멘트를 이용하여3d 프린터로 출력하는 방법 및 대형 사물을 필라멘트를 이용하여 3d 프린터로 출력해주는 3d 프린터용 헤드세트

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007103607A (ja) * 2005-10-03 2007-04-19 Matsushita Electric Ind Co Ltd スタンダードセル、半導体集積回路、半導体集積回路の設計方法、半導体集積回路の設計装置、及びスタンダードセルライブラリ
CN103035639A (zh) * 2011-10-06 2013-04-10 台湾积体电路制造股份有限公司 集成电路及其设计方法
CN103778273A (zh) * 2012-10-23 2014-05-07 Arm有限公司 产生包含标准单元及存储器实例的集成电路布图的方法
TW201518974A (zh) * 2013-11-05 2015-05-16 Taiwan Semiconductor Mfg Co Ltd 電子設計自動化系統與方法
KR20160034167A (ko) * 2014-09-18 2016-03-29 삼성전자주식회사 교차 연결 구조를 갖는 반도체 장치 및 그것의 레이아웃 검증 방법

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5459673A (en) * 1990-10-29 1995-10-17 Ross Technology, Inc. Method and apparatus for optimizing electronic circuits
US6209123B1 (en) * 1996-11-01 2001-03-27 Motorola, Inc. Methods of placing transistors in a circuit layout and semiconductor device with automatically placed transistors
US6075934A (en) * 1997-05-01 2000-06-13 Motorola, Inc. Method for optimizing contact pin placement in an integrated circuit
US6282693B1 (en) * 1998-12-16 2001-08-28 Synopsys, Inc. Non-linear optimization system and method for wire length and density within an automatic electronic circuit placer
US6453447B1 (en) * 1999-08-19 2002-09-17 Aeroflex Utmc Microelectronic Systems Inc. Method for fabricating integrated circuits
US6467074B1 (en) * 2000-03-21 2002-10-15 Ammocore Technology, Inc. Integrated circuit architecture with standard blocks
US6539533B1 (en) * 2000-06-20 2003-03-25 Bae Systems Information And Electronic Systems Integration, Inc. Tool suite for the rapid development of advanced standard cell libraries
US7225423B2 (en) * 2000-06-30 2007-05-29 Zenasis Technologies, Inc. Method for automated design of integrated circuits with targeted quality objectives using dynamically generated building blocks
DE10159699A1 (de) * 2001-12-05 2003-06-26 Infineon Technologies Ag Verfahren zur Herstellung einer integrierten Halbleiterschaltung
US7269803B2 (en) * 2003-12-18 2007-09-11 Lsi Corporation System and method for mapping logical components to physical locations in an integrated circuit design environment
US7191425B1 (en) * 2004-11-18 2007-03-13 Sun Microsystems, Inc. Method and apparatus for inserting extra tracks during library architecture migration
US7246337B2 (en) * 2004-12-08 2007-07-17 Lsi Corporation Density driven layout for RRAM configuration module
US7325214B2 (en) * 2005-02-03 2008-01-29 United Microelectronics Corp. Method for realizing circuit layout using cell library
US8490043B2 (en) * 2005-05-06 2013-07-16 Tela Innovations, Inc. Standard cells having transistors annotated for gate-length biasing
US7343581B2 (en) * 2005-06-27 2008-03-11 Tela Innovations, Inc. Methods for creating primitive constructed standard cells
JP4718914B2 (ja) * 2005-06-28 2011-07-06 株式会社東芝 半導体集積回路の設計支援システム、半導体集積回路の設計方法、半導体集積回路の設計支援プログラム、半導体集積回路の製造方法
WO2007002799A1 (en) * 2005-06-29 2007-01-04 Lightspeed Logic, Inc. Methods and systems for placement
US20070157146A1 (en) * 2006-01-03 2007-07-05 Mediatek Inc. Method of packing-based macro placement and semiconductor chip using the same
US7564077B2 (en) * 2006-05-05 2009-07-21 Texas Instruments Incorporated Performance and area scalable cell architecture technology
US7739627B2 (en) * 2006-07-05 2010-06-15 Chew Marko P System and method of maximizing integrated circuit manufacturing yield with context-dependent yield cells
US7496862B2 (en) * 2006-08-29 2009-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for automatically modifying integrated circuit layout
DE102006059829A1 (de) 2006-12-15 2008-06-19 Slawomir Suchy Universalcomputer
US7562326B2 (en) * 2007-08-09 2009-07-14 United Microelectronics Corp. Method of generating a standard cell layout and transferring the standard cell layout to a substrate
US8037441B2 (en) * 2007-09-25 2011-10-11 International Business Machines Corporation Gridded-router based wiring on a non-gridded library
US8024695B2 (en) * 2008-02-05 2011-09-20 Nangate A/S Optimization of integrated circuit design and library
US8079008B2 (en) * 2008-03-31 2011-12-13 Broadcom Corporation High-speed low-leakage-power standard cell library
MY152456A (en) * 2008-07-16 2014-09-30 Tela Innovations Inc Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US7966596B2 (en) * 2008-08-27 2011-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Place-and-route layout method with same footprint cells
US8001505B2 (en) 2008-09-15 2011-08-16 Synopsys, Inc. Method and apparatus for merging EDA coverage logs of coverage data
US8136072B2 (en) 2008-11-03 2012-03-13 Arm Limited Standard cell placement
US8631366B2 (en) * 2009-04-30 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit design using DFM-enhanced architecture
US8543958B2 (en) 2009-12-11 2013-09-24 Synopsys, Inc. Optical proximity correction aware integrated circuit design optimization
US8484523B2 (en) * 2010-03-23 2013-07-09 Freescale Semiconductor, Inc. Sequential digital circuitry with test scan
US8549447B2 (en) * 2010-04-24 2013-10-01 Robert Eisenstadt Integrated circuits with multiple I/O regions
US8832629B2 (en) * 2010-07-23 2014-09-09 Freescale Semiconductor, Inc. Method for optimising cell variant selection within a design process for an integrated circuit device
EP2469597A3 (en) * 2010-12-23 2016-06-29 Commissariat à l'Énergie Atomique et aux Énergies Alternatives Multi-level integrated circuit, device and method for modeling multi-level integrated circuits
US8726217B2 (en) * 2011-01-20 2014-05-13 GlobalFoundries, Inc. Methods for analyzing cells of a cell library
US8612914B2 (en) * 2011-03-23 2013-12-17 Synopsys, Inc. Pin routing in standard cells
US9058450B1 (en) * 2011-06-03 2015-06-16 Nangate Inc. Efficiently using cell libraries with a large number of cells
US8561003B2 (en) 2011-07-29 2013-10-15 Synopsys, Inc. N-channel and P-channel finFET cell architecture with inter-block insulator
US9003349B1 (en) * 2013-06-28 2015-04-07 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing a physical electronic design with area-bounded tracks
US8775999B2 (en) * 2012-11-08 2014-07-08 Advanced Micro Devices, Inc. Standard cell placement method to exercise placement permutations of standard cell libraries
US8878303B2 (en) * 2012-12-28 2014-11-04 Broadcom Corporation Geometric regularity in fin-based multi-gate transistors of a standard cell library
US8739104B1 (en) * 2013-02-28 2014-05-27 Broadcom Corporation Systems and methods for forming an integrated circuit using a standard cell library
US9852253B2 (en) * 2013-05-17 2017-12-26 Cornell University Automated layout for integrated circuits with nonstandard cells
US9087170B2 (en) * 2013-08-28 2015-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Cell layout design and method
US8959472B1 (en) * 2013-09-27 2015-02-17 Arm Limited Considering compatibility of adjacent boundary regions for standard cells placement and routing
US9704846B1 (en) * 2013-10-04 2017-07-11 Pdf Solutions, Inc. IC chips containing a mixture of standard cells obtained from an original set of design rules and enhanced standard cells that are a substantially uniform variant of the original set of design rules and methods for making the same
US10083269B2 (en) * 2013-11-19 2018-09-25 Arm Limited Computer implemented system and method for generating a layout of a cell defining a circuit component
US9177096B2 (en) * 2014-03-26 2015-11-03 Freescale Semiconductor, Inc. Timing closure using transistor sizing in standard cells
US9830415B2 (en) 2014-08-22 2017-11-28 Samsung Electronics Co., Ltd. Standard cell library, method of using the same, and method of designing semiconductor integrated circuit
KR102230197B1 (ko) 2014-08-22 2021-03-19 삼성전자주식회사 표준 셀 라이브러리, 이를 사용하는 방법 및 반도체 집적회로의 설계 방법
US9767248B2 (en) 2014-09-18 2017-09-19 Samsung Electronics, Co., Ltd. Semiconductor having cross coupled structure and layout verification method thereof
TWI656622B (zh) * 2014-09-23 2019-04-11 聯華電子股份有限公司 積體電路佈局結構
KR102303301B1 (ko) * 2014-12-18 2021-09-16 삼성전자주식회사 반도체 장치의 설계 방법 및 설계 시스템
US20160283641A1 (en) * 2015-03-25 2016-09-29 Intel Corporation Method and apparatus for improving performance and power in an electronic design using standard cells
US9792400B2 (en) 2015-03-31 2017-10-17 Cavium, Inc. Determination of flip-flop count in physical design
US9727685B2 (en) * 2015-05-14 2017-08-08 Globalfoundries Inc. Method, apparatus, and system for improved standard cell design and routing for improving standard cell routability
US9846759B2 (en) * 2015-07-30 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Global connection routing method and system for performing the same
US10162925B2 (en) * 2015-09-18 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Cell layout of semiconductor device
CN106611075A (zh) * 2015-10-23 2017-05-03 飞思卡尔半导体公司 使用来自两个或多个库的标准单元的集成电路
US10169517B2 (en) * 2016-03-29 2019-01-01 Wipro Limited Methods and systems for reducing congestion in very large scale integrated (VLSI) chip design
KR102504289B1 (ko) 2016-04-07 2023-02-28 삼성전자 주식회사 인접 핀들 사이의 라우팅 간섭을 제거하는 구조를 갖는 표준 셀과 이를 포함하는 장치
US10282503B2 (en) * 2016-06-25 2019-05-07 Qualcomm Incorporated Mitigating length-of-diffusion effect for logic cells and placement thereof
US10740531B2 (en) * 2016-11-29 2020-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system for and method of forming an integrated circuit
US11139241B2 (en) * 2016-12-07 2021-10-05 Intel Corporation Integrated circuit device with crenellated metal trace layout
US10402530B1 (en) * 2016-12-30 2019-09-03 Cadence Design Systems, Inc. Method, system, and computer program product for implementing placement using row templates for an electronic design
US10572615B2 (en) * 2017-04-28 2020-02-25 Synopsys, Inc. Placement and routing of cells using cell-level layout-dependent stress effects
US10741539B2 (en) * 2017-08-30 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Standard cells and variations thereof within a standard cell library
US10769346B1 (en) * 2017-12-28 2020-09-08 Cadence Design Systems, Inc. Method, system, and computer program product for rearrangement of objects within an electronic design
CN110349947A (zh) * 2018-04-02 2019-10-18 台湾积体电路制造股份有限公司 半导体装置、其设计方法及包括其的系统

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007103607A (ja) * 2005-10-03 2007-04-19 Matsushita Electric Ind Co Ltd スタンダードセル、半導体集積回路、半導体集積回路の設計方法、半導体集積回路の設計装置、及びスタンダードセルライブラリ
CN103035639A (zh) * 2011-10-06 2013-04-10 台湾积体电路制造股份有限公司 集成电路及其设计方法
CN103778273A (zh) * 2012-10-23 2014-05-07 Arm有限公司 产生包含标准单元及存储器实例的集成电路布图的方法
TW201518974A (zh) * 2013-11-05 2015-05-16 Taiwan Semiconductor Mfg Co Ltd 電子設計自動化系統與方法
KR20160034167A (ko) * 2014-09-18 2016-03-29 삼성전자주식회사 교차 연결 구조를 갖는 반도체 장치 및 그것의 레이아웃 검증 방법

Also Published As

Publication number Publication date
KR20190024542A (ko) 2019-03-08
TWI683228B (zh) 2020-01-21
TW201913423A (zh) 2019-04-01
US11182533B2 (en) 2021-11-23
US20200328202A1 (en) 2020-10-15
US10741539B2 (en) 2020-08-11
US20190064770A1 (en) 2019-02-28
CN109426693A (zh) 2019-03-05
KR102049103B1 (ko) 2020-01-08

Similar Documents

Publication Publication Date Title
CN109426693B (zh) 开发电子器件的架构设计和制造电子器件的系统及方法
CN102682143B (zh) 用于单图案化间隔件技术的rc提取
US20180268096A1 (en) Machine-learning design enablement platform
CN110647901B (zh) 对电子电路内的扫描触发器进行分组和排序的系统和方法
CN107066681B (zh) 集成电路和制造集成电路的计算机实现方法
US9767240B2 (en) Temperature-aware integrated circuit design methods and systems
KR20190135550A (ko) 셀 레벨 레이아웃 의존성 응력 효과들을 사용하는 셀의 배치 및 라우팅
US11163932B2 (en) Semiconductor process modeling to enable skip via in place and route flow
Ren et al. Nvcell: Standard cell layout in advanced technology nodes with reinforcement learning
US7480874B2 (en) Reliability analysis of integrated circuits
US20230274074A1 (en) Generation of layout including power delivery network
CN109074412B (zh) 使用自动焊接和自动克隆的电路中的连接的交互式布线
US11704472B2 (en) Standard cells and variations thereof within a standard cell library
KR20180028252A (ko) 집적 회로 설계 시스템 및 집적 회로의 제조 방법
US8640076B2 (en) Methodology on developing metal fill as library device and design structure
CN112513861B (zh) 使用并行处理进行层次电路模拟的方法和系统
KR20170094744A (ko) 집적 회로 및 상기 집적 회로의 제조를 위한 컴퓨터 구현 방법
US10558781B2 (en) Support apparatus, design support method, and design support program
Alzahrani et al. Leveraging design diversity to counteract process variation: theory, method, and FPGAtoolchain to increase yield and resiliencein‐situ
US20230267261A1 (en) Design system, design method and method of manufacture of semiconductor device
CN118070736A (zh) 设计半导体装置集成电路及其布图的方法
JP2010249741A (ja) 論理回路生成装置および論理回路生成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant