TWI683228B - 開發電子裝置的電子架構設計的系統、開發多個標準電路元件庫的方法及將電子裝置製作至半導體基底上的系統 - Google Patents

開發電子裝置的電子架構設計的系統、開發多個標準電路元件庫的方法及將電子裝置製作至半導體基底上的系統 Download PDF

Info

Publication number
TWI683228B
TWI683228B TW107100310A TW107100310A TWI683228B TW I683228 B TWI683228 B TW I683228B TW 107100310 A TW107100310 A TW 107100310A TW 107100310 A TW107100310 A TW 107100310A TW I683228 B TWI683228 B TW I683228B
Authority
TW
Taiwan
Prior art keywords
standard circuit
circuit element
standard
electronic device
design
Prior art date
Application number
TW107100310A
Other languages
English (en)
Other versions
TW201913423A (zh
Inventor
陳勝雄
高章瑞
張豐願
黃博祥
王紹桓
王新泳
鄭儀侃
陳俊臣
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201913423A publication Critical patent/TW201913423A/zh
Application granted granted Critical
Publication of TWI683228B publication Critical patent/TWI683228B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/10Geometric CAD
    • G06F30/18Network design, e.g. design based on topological or interconnect aspects of utility systems, piping, heating ventilation air conditioning [HVAC] or cabling
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/04Constraint-based CAD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/20Configuration CAD, e.g. designing by assembling or positioning modules selected from libraries of predesigned modules
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2117/00Details relating to the type or aim of the circuit design
    • G06F2117/08HW-SW co-design, e.g. HW-SW partitioning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Pure & Applied Mathematics (AREA)
  • Mathematical Optimization (AREA)
  • Mathematical Analysis (AREA)
  • Computational Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Human Computer Interaction (AREA)
  • Manufacturing & Machinery (AREA)
  • Automation & Control Theory (AREA)

Abstract

本發明揭露包括一或多個標準電路元件及一或多個對應 的標準電路元件變形的多個標準電路元件庫的示例性實施例。所述一或多個標準電路元件變形具有與其一或多個標準電路元件相似的功能,但在幾何形狀、幾何形狀的位置、及/或各幾何形狀之間的內連線方面與其一或多個標準電路元件不同。本文所述的示例性系統及方法自所述一或多個標準電路元件及/或所述一或多個標準電路元件變形中進行選擇性地選取以形成電子裝置的類比電路系統及/或數位電路系統的電子架構設計。

Description

開發電子裝置的電子架構設計的系統、開發多個標準電路元件庫的方法及將電子裝置製作至半導體基底上的系統
本發明實施例涉及開發電子裝置的電子架構設計的系統、開發多個標準電路元件庫的方法及將電子裝置製作至半導體基底上的系統。
技術及工程設計的進展已使得設計者及製造商能夠向消費者提供更多電子裝置。通常,設計者及/或製造商在電子裝置的整個設計中利用電子設計自動化(electronic design automation,EDA),亦被稱為電子電腦輔助設計(electronic computer-aided design,ECAD)。電子設計自動化表現為可由設計者及製造商用於 設計電子裝置的一類軟體應用。諸多軟體應用可用於在將電子裝置製作至積體電路(integrated circuit,IC)或半導體基底上之前對所述電子裝置進行設計、模擬、分析、及驗證。傳統的用於設計電子裝置的軟體應用利用處於暫存器轉移層次(register-transfer level,RTL)的高階軟體語言來開發電子裝置的類比及/或數位電路系統的軟體實作方式。該些傳統軟體應用藉由對傳統預定義標準電路元件庫中的諸多傳統標準電路元件進行內連以在積體電路或半導體基底上形成類比及/或數位電路系統,將高階軟體語言轉譯成傳統電子架構設計。傳統上,傳統預定義標準電路元件庫中的較大標準電路元件先於傳統預定義標準電路元件庫中的較小標準電路元件而被放置至積體電路或半導體基底上,因而使得可用於將該些較小標準電路元件放置至積體電路或半導體基底上的基板面較小。因此,積體電路或半導體基底常常被擴展以擴大可用於將該些較小標準電路元件放置至積體電路或半導體基底上的基板面。積體電路或半導體基底的此種擴展會增加由電子裝置的類比及/或數位電路系統佔據的基板面。
本申請的一些實施例提供一種用於開發電子裝置的電子架構設計的系統,所述系統包括:記憶體,儲存多個標準電路元件庫,所述多個標準電路元件庫包括多個標準電路元件及多個標準電路元件變形;以及處理器,被配置成執行放置及路由應用, 所述放置及路由應用在由所述處理器執行時,將所述處理器配置成:將所述多個標準電路元件放置至電子裝置設計基板面上,當所述多個標準電路元件中的第一標準電路元件不滿足電子設計約束條件時,自所述多個標準電路元件變形中選擇與所述第一標準電路元件對應的第一標準電路元件變形,當所述第一標準電路元件不滿足所述電子設計約束條件時,以所述第一標準電路元件變形替換所述電子裝置設計基板面內的所述第一標準電路元件,以及對所述多個標準電路元件中的放置至所述電子裝置設計基板面上的標準電路元件、以及所述第一標準電路元件變形進行路由,以開發所述電子裝置的所述電子架構設計。
此外,本申請的其他實施例提供一種開發多個標準電路元件庫的方法,所述方法包括:電腦系統自所述多個標準電路元件庫中的標準電路元件庫選擇標準電路元件;所述電腦系統將由所述標準電路元件佔據的合法位點的數目與合法位點臨限數目進行比較;以及當由所述標準電路元件佔據的所述合法位點的數目小於或等於所述合法位點臨限數目時,所述電腦系統開發標準電路元件變形庫,所述標準電路元件變形庫包括與所述標準電路元件對應的標準電路元件變形。
另外,本申請的其他實施例提供一種將電子裝置製作至半導體基底上的系統,所述系統包括:記憶體,儲存所述電子裝置的電子架構設計,所述電子架構設計是利用多個標準電路元件變形庫來開發,所述多個標準電路元件變形庫包括與多個標準電 路元件中的所佔據合法位點小於或等於合法位點臨限數目的標準電路元件對應的多個標準電路元件變形;以及處理器,被配置成執行生產線前段(FEOL)處理應用,所述生產線前段在由所述處理器執行時,將所述處理器配置成:根據所述電子架構設計在所述半導體基底內及/或所述半導體基底上形成所述電子裝置的一或多個半導體裝置。
100、120‧‧‧電子設計平台
102‧‧‧合成應用
104‧‧‧放置及路由應用
106‧‧‧模擬應用
108‧‧‧驗證應用
110、200、202‧‧‧標準電路元件庫
122‧‧‧生產線前段處理應用
124‧‧‧生產線中段處理應用
126‧‧‧生產線後段處理應用
204.1、204.2、204.b、500、600、700‧‧‧標準電路元件
206.1、206.a‧‧‧標準電路元件變形庫
208.1.1、208.2.1、208.b.1、208.1.a、208.2.a、208.b.a、502、602、702‧‧‧標準電路元件變形
300‧‧‧電子裝置設計基板面
302.1、302.m‧‧‧合法位點列
304.1、304.i‧‧‧合法位點行
306.1.1、306.m.i、704.1、704.2、704.(p-1)、704.p‧‧‧合法位點
400、800‧‧‧操作控制流程
402、404、406、802、804、806、808、810、812‧‧‧操作
504.1、504.(h-1)、504.h‧‧‧電性設計跡線
504.2、504.4、504.6‧‧‧電性設計跡線/偶數編號的電性設計跡線
504.3、504.5‧‧‧電性設計跡線/奇數編號的電性設計跡線
900‧‧‧電腦系統
902‧‧‧使用者輸入/輸出介面
903‧‧‧使用者輸入/輸出裝置
904‧‧‧處理器
906‧‧‧通訊基礎設施/匯流排
908‧‧‧主記憶體/一級記憶體
910‧‧‧二級儲存裝置/記憶體
912‧‧‧硬碟驅動器
914‧‧‧可移除儲存裝置/驅動器
918、922‧‧‧可移除儲存單元
920‧‧‧介面
924‧‧‧通訊或網路介面
926‧‧‧通訊路徑
928‧‧‧遠端裝置/參考編號
結合附圖閱讀以下詳細說明會最佳地理解本揭露的態樣。應注意,根據本行業中的標準慣例,各種特徵並非按比例繪製。事實上,為清晰論述起見,可任意增大或減小各種特徵的尺寸。
圖1A是根據本揭露示例性實施例的第一電子設計平台的方塊圖。
圖1B是根據本揭露示例性實施例的第二電子設計平台的方塊圖。
圖2是根據本揭露示例性實施例的示例性標準電路元件庫。
圖3是根據本揭露示例性實施例的用於放置電子裝置的類比電路系統及/或數位電路系統的電子裝置設計基板面。
圖4是根據本揭露示例性實施例的用於開發示例性標準電路元件庫的示例性操作的流程。
圖5A及圖5B分別是根據本揭露示例性實施例的第一示例性標準電路元件及與所述第一示例性標準電路元件對應的第一示例性標準電路元件變形的示例性半導體佈局圖。
圖6A及圖6B分別進一步示出根據本揭露示例性實施例的第一示例性標準電路元件及與所述第一標準電路元件對應的第一示例性標準電路元件變形的示例性半導體佈局圖。
圖7A及圖7B分別是根據本揭露示例性實施例的第二示例性標準電路元件及與所述第二示例性標準電路元件對應的第二示例性標準電路元件變形的示例性半導體佈局圖。
圖8是根據本揭露示例性實施例的用於放置標準電路元件庫中的標準電路元件及/或標準電路元件變形的示例性操作的流程。
圖9是根據本揭露示例性實施例的用於實作示例性設計平台的示例性電腦系統的方塊圖。
以下揭露內容提供諸多不同的實施例或實例以用於實作所提供主題的不同特徵。下文闡述組件及安排形式的具體實例以簡化本揭露內容。當然,該些僅為實例而並非旨在進行限制。舉例而言,在以下說明中將第一特徵形成於第二特徵「之上(over)」可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且亦可包括其中在第一特徵與第二特徵之間可形成有附加特徵、進而使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭露內容可在各種實例中重複使用參考編號及/或字母。此種重複使用自身並不指示所論述的各種實施例及/或配置之間的關係。
綜述(OVERVIEW)
本發明揭露包括一或多個標準電路元件及一或多個對應的標準電路元件變形的多個標準電路元件庫的示例性實施例。所述一或多個標準電路元件變形具有與其一或多個標準電路元件相似的功能,但在幾何形狀、幾何形狀的位置、及/或各幾何形狀之間的內連線方面與其一或多個標準電路元件不同。本文所述的示例性系統及方法自所述一或多個標準電路元件及/或所述一或多個標準電路元件變形中進行選擇性地選取以形成電子裝置的類比電路系統及/或數位電路系統的電子架構設計。在示例性實施例中,半導體代工廠及/或半導體技術節點可對將所述一或多個標準電路元件放置至電子裝置設計基板面上施加一或多個電子設計約束條件。在一些情形中,所述一或多個標準電路元件中的一些在被放置至電子裝置設計基板面上時無法滿足所述一或多個電子設計約束條件。在該些情形中,將與該些標準電路元件對應的所述一或多個標準電路元件變形放置至電子裝置設計基板面上。
示例性電子設計平台
圖1A是根據本揭露示例性實施例的第一電子設計平台的方塊圖。如圖1A所示,電子設計平台100代表包括一或多個電子設計軟體應用的設計流程,所述一或多個電子設計軟體應用在由一或多個計算裝置、處理器、控制器、或在不背離本揭露的精神及範圍條件下將對熟習相關技術者顯而易見的其他裝置執行時,可對電子裝置的類比及/或數位電路系統的一或多種高階軟體層次描述進行設計、模擬、分析、及/或驗證。在示例性實施例中, 所述一或多種高階軟體層次描述可使用以下者來實作:高階軟體語言,例如圖形設計應用,舉例而言為C、系統C(System C)、C++、LabVIEW及/或MATLAB;通用系統設計語言,例如如同SysML、SMDL、及/或SSDL一樣的通用系統設計語言;或在不背離本揭露的精神及範圍條件下將對熟習相關技術者顯而易見的任何其他適合的高階軟體或通用系統設計語言;或者高階軟體格式,例如通用電源格式(Common Power Format,CPF)、統一電源格式(Unified Power Format,UPF)、或在不背離本揭露的精神及範圍條件下將對熟習相關技術者顯而易見的任何其他適合的高階軟體格式。在圖1A所示示例性實施例中,電子設計平台100包括合成應用(synthesis application)102、放置及路由應用(placing and routing application)104、模擬應用(simulation application)106、驗證應用(verification application)108、及標準電路元件庫110。
此外,本揭露的實施例可實作於硬體、韌體、軟體、或其任何組合中。本揭露的實施例亦可實作為儲存於機器可讀取媒體上的指令,所述指令可由一或多個處理器來讀取及執行。機器可讀取媒體可包括用於儲存或傳送可由機器(例如,計算裝置)讀取的形式的資訊的任何機構。舉例而言,機器可讀取媒體可包括非暫時性機器可讀取媒體,例如唯讀記憶體(read only memory,ROM);隨機存取記憶體(random access memory,RAM);磁碟儲存媒體;光學儲存媒體;快閃記憶體裝置;等。作為另一 實例,機器可讀取媒體可包括暫時性機器可讀取媒體,例如電形式、光學形式、聲學形式或其他形式的所傳播訊號(例如,載波、紅外線訊號、數位訊號等)。此外,韌體、軟體、常式(routine)、指令可在本文中被闡述為執行某些動作。然而,應理解,此種說明僅是為了方便起見,且事實上此種動作是由計算裝置、處理器、控制器、或執行韌體、軟體、程序、指令等的其他裝置引起。在示例性實施例中,合成應用102、放置及路由應用104、模擬應用106、及驗證應用108代表一或多個電子設計軟體應用,所述一或多個電子設計軟體應用在由一或多個計算裝置、處理器、控制器、或在不背離本揭露的精神及範圍條件下將對熟習相關技術者顯而易見的其他裝置執行時,將所述一或多個計算裝置、所述處理器、所述控制器、或所述其他裝置自通用電子裝置配置為專用電子裝置以如下文將更詳細闡述般執行該些應用中的一或多者。
合成應用102將電子裝置的一或多個特徵、參數、或屬性轉譯成一或多個邏輯運算、一或多個算數運算、一或多個控制操作、及/或在不背離本揭露的精神及範圍條件下將對熟習相關技術者顯而易見的任何其他適合的一或多個操作,而成為關於電子裝置的類比電路系統及/或數位電路系統的所述一或多種高階軟體層次描述。合成應用102可利用模擬演算法來模擬所述一或多個邏輯運算、一或多個算數運算、一或多個控制操作、及/或其他適合的一或多個操作,以根據電子設計規範中所概述的電子裝置的一或多個特徵、參數、或屬性來驗證所執行的所述一或多個邏輯 運算、一或多個算數運算、一或多個控制操作、及/或其他適合的操作。
放置及路由應用104對所述一或多種高階軟體層次描述進行轉譯,以形成電子裝置的類比電路系統及/或數位電路系統的電子架構設計。放置及路由應用104是在標準電路元件庫110內的一或多個標準電路元件及/或標準電路元件庫110內與所述一或多個標準電路元件對應的一或多個標準電路元件變形中進行選擇性地選取,以將所述一或多種高階軟體層次描述的所述一或多個邏輯運算、所述一或多個算數運算、所述一或多個控制操作、及/或所述其他適合的一或多個操作轉譯成幾何形狀及/或各幾何形狀之間的內連線,進而形成電子裝置的類比電路系統及/或數位電路系統的電子架構設計。一般而言,所述一或多個標準電路元件變形具有與其對應的標準電路元件相似的功能,但在幾何形狀、幾何形狀的位置、及/或各幾何形狀之間的內連線方面與其對應的標準電路元件不同。如此一來,所述一或多個標準電路元件變形使得放置及路由應用104能夠選擇性地選取所述一或多個標準電路元件的一或多個變形,而無需擴展電子裝置設計基板面來放置標準電路元件庫110內具有與所述一或多個標準電路元件相似的功能的一或多個較大標準電路元件。
在示例性實施例中,標準電路元件庫110包括多個標準電路元件,所述多個標準電路元件界定各種幾何形狀、各種幾何形狀的位置、及/或各種幾何形狀之間的內連線。在此示例性實施 例中,標準電路元件庫110內的所述多個標準電路元件中的一或多者與標準電路元件庫110內的一或多個標準電路元件變形對應。作為另一選擇或除此以外,在此示例性實施例中,僅標準電路元件庫110內的所述多個標準電路元件的子組與標準電路元件庫110內的所述一或多個標準電路元件變形對應。可使用標準電路元件庫110內的所述多個標準電路元件的此子組來顯著減小標準電路元件庫110的大小。舉例而言,藉由在電子裝置設計基板面上僅包括所述多個標準電路元件中需要小於或等於合法位點臨限數目的小標準電路元件(亦被稱為關鍵標準電路元件)的一或多個標準電路元件變形,標準電路元件庫110可得到顯著壓縮。此示例性實施例更提高放置及路由應用104形成電子架構設計的效率。以下將在圖2至圖7B中進一步闡述標準電路元件庫110的示例性實施例。
在自標準電路元件庫110中選擇所述一或多個標準電路元件之後,放置及路由應用104將所述一或多個所選擇標準電路元件放置至電子裝置設計基板面上以起始電子裝置的類比電路系統及/或數位電路系統的電子架構設計的形成。在示例性實施例中,放置及路由應用104在將所述一或多個所選擇標準電路元件中佔據較少基板面的所述標準電路元件放置至電子裝置設計基板面上之前,將所述一或多個所選擇標準電路元件中佔據較多基板面的標準電路元件放置至電子裝置設計基板面上。另外,放置及路由應用104驗證將所述一或多個所選擇標準電路元件放置至電 子裝置設計基板面上是否滿足一或多個電子設計約束條件。所述一或多個電子設計約束條件可包括一或多個推薦參數,所述一或多個推薦參數由用於製作電子裝置的一或多個半導體代工廠及/或一或多個半導體技術節點定義。所述一或多個推薦參數可包括所述一或多個標準電路元件的推薦幾何形狀、所述一或多個標準電路元件的幾何形狀的推薦位置、各幾何形狀之間的推薦內連線、及/或在不背離本揭露的精神及範圍條件下將對熟習相關技術者顯而易見的任何其他適合的一或多個電子設計約束條件。舉例而言,所述一或多個推薦參數可包括用於所述一或多個標準電路元件的電源連接、接地連接、及/或輸入/輸出連接在電子裝置設計基板面上的推薦位置、一或多個擴散層、一或多個多晶矽層、一或多個金屬層、及/或所述各層之間的一或多條內連線在電子裝置設計基板面上的推薦位置。
在示例性實施例中,當所述放置標準電路元件庫110中的所述一或多個標準電路元件滿足所述一或多個電子設計約束條件時,此放置所述一或多個標準電路元件代表至電子裝置設計基板面上的合法放置。否則,當所述放置所述一或多個標準電路元件不滿足所述一或多個電子設計約束條件時,此放置所述一或多個標準電路元件代表至電子裝置設計基板面上的非法放置。在此種情形中,放置及路由應用104自標準電路元件庫110中迭代地選擇代表具有非法放置的所述一或多個標準電路元件的一或多個變形的一或多個標準電路元件變形,並以具有合法放置的所述一 或多個標準電路元件變形替換電子裝置設計基板面上的所述一或多個標準電路元件。一般而言,所述一或多個標準電路元件變形具有與其相應的標準電路元件相似的功能,但幾何形狀、幾何形狀的位置、及/或各幾何形狀之間的內連線方面為不同的。以下將在圖8中進一步闡述所述放置所述一或多個所選擇標準電路元件及所述驗證所述放置是否滿足所述一或多個電子設計約束條件。
一旦放置及路由應用104將所述一或多個所選擇標準電路元件放置至電子裝置設計上,並驗證所述一或多個所放置標準電路元件滿足所述一或多個電子設計約束條件後,放置及路由應用104便對所述一或多個所放置標準電路元件進行路由以形成電子裝置的類比電路系統及/或數位電路系統的電子架構設計。在示例性實施例中,放置及路由應用104在一或多個所放置標準電路元件之間由導電材料形成各種幾何形狀及/或在該些各種幾何形狀之間形成內連線,以形成電子裝置的類比電路系統及/或數位電路系統的電子架構設計。
模擬應用106模擬電子裝置的類比電路系統及/或數位電路系統的電子架構設計,以複製電子裝置的類比電路系統及/或數位電路系統的電子架構設計的一或多個特徵、參數、或屬性。在示例性實施例中,模擬應用106可提供靜態時序分析(static timing analysis,STA)、電壓降分析(亦被稱為IREM分析)、時脈域交叉驗證(時脈域交叉(clock domain crossing,CDC)檢查)、形式驗證(亦被稱為模型檢查)、等價檢查、或在不背離本揭露的精神 及範圍條件下將對熟習相關技術者顯而易見的任何其他適合的分析。在再一示例性實施例中,模擬應用106可執行交流(alternating current,AC)分析,例如線性小訊號頻域分析;及/或直流(direct current,DC)分析,例如非線性靜態點(nonlinear quiescent point)計算或在掃描電壓、電流、及/或用於執行靜態時序分析、電壓降分析、或其他適合的分析的參數的同時所計算的非線性操作點(nonlinear operating point)序列。
驗證應用108驗證由模擬應用106複製的電子裝置的類比電路系統及/或數位電路系統的電子架構設計的所述一或多個特徵、參數、或屬性是否滿足電子設計規範。驗證應用108執行物理驗證(亦被稱為設計規則檢查(design rule check,DRC)),以檢查電子裝置的類比電路系統及/或數位電路系統的電子架構設計是否滿足由用於製作電子裝置的半導體代工廠及/或半導體技術節點定義的一或多個推薦參數(被稱為設計規則)。
圖1B是根據本揭露示例性實施例的第二電子設計平台的方塊圖。如圖1B所示,電子設計平台120代表包括一或多個電子設計軟體應用的製作流程,所述一或多個電子設計軟體應用在由一或多個計算裝置、處理器、控制器、或在不背離本揭露的精神及範圍條件下將對熟習相關技術者顯而易見的其他裝置執行時,可執行光微影(photo lithographic)步驟及化學處理步驟的多操作(multiple-operation)序列,在所述光微影步驟及化學處理步驟期間在半導體基底上逐漸地形成電子裝置的類比及/或數位電路 系統,所述半導體基底為例如矽晶體,但可包含其他材料或各材料的組合,例如藍寶石或在不背離本揭露的精神及範圍條件下將對熟習相關技術者顯而易見的任何其他適合的材料。光微影步驟及化學處理步驟的多操作序列可包括沈積、移除、圖案化、及修改。沈積是用於將材料生長、塗佈、或以其他方式轉移至半導體基底上的製程,且可包括例如物理氣相沈積(physical vapor deposition,PVD)、化學氣相沈積(chemical vapor deposition,CVD)、電化學沈積(electrochemical deposition,ECD)、及/或分子束磊晶(molecular beam epitaxy,MBE)。移除是用於自半導體基底移除材料的製程,且可包括例如濕式蝕刻、乾式蝕刻、及/或化學機械平坦化(chemical-mechanical planarization,CMP)。圖案化(常常被稱為微影(lithography))是用於對半導體基底的材料進行成形或改變以形成電子裝置的類比及/或數位電路系統的各種幾何形狀的製程。電性質的修改是用於通常藉由離子植入來改變半導體基底的物理性質、電性質、及/或化學性質的製程。在示例性實施例中,半導體代工廠可利用此種製作流程來在半導體基底上製作電子裝置的類比及/或數位電路系統。在圖1B所示示例性實施例中,製作流程可被劃分成生產線前段(front-end-of-line,FEOL)處理、生產線中段(middle-end-of-line,MEOL)處理、及生產線後段(back-end-of-line,BEOL)處理。在此實施例中,電子設計平台120如圖1B所示包括生產線前段處理應用122、生產線中段處理應用124、及生產線後段處理應用126。
生產線前段處理應用122根據電子裝置的類比電路系統及/或數位電路系統的電子架構設計在半導體基底內的一或多個擴散層及/或一或多個多晶矽層上形成類比及/或數位電路系統的一或多個半導體裝置。生產線前段處理應用122可包括:在半導體基底內形成一或多個阱,以及在半導體基底內及/或在半導體基底上形成類比及/或數位電路系統的所述一或多個半導體裝置的各種端子(例如閘極、源極、及汲極)。在示例性實施例中,電子架構設計代表描述電子裝置的電路系統的幾何形狀、幾何形狀的位置、及/或幾何形狀的內連線的基於影像或資料的表示形式。電子架構設計可代表一或多個聯合照相專家群(Joint Photographic Experts Group,JPEG)影像、聯合照相專家群檔案交換格式(JPEG File Interchange Format,JFIF)影像、可交換影像檔(Exchangeable image file,Exif)影像、帶標影像檔案格式(Tagged Image File Format,TIFF)影像、圖形交換格式(Graphics Interchange Format,GIF)影像、視窗位元映像(Windows bitmap,BMP)影像、及/或可攜式網路圖形(Portable Network Graphic,PNG)影像、自動電腦輔助設計(computer-aided design,CAD)製圖交換格式(Drawing Exchange Format,DXF)資料檔案、可攜式文件格式(Portable Document Format,PDF)資料檔案、電子設計交換格式(Electronic Design Interchange Format,EDIF)資料檔案、ODB++資料檔案、例如IPC-2511A、IPC-2511B、或IPC-2581等一或多個國際電子工業聯接協會(Association Connecting Electronics Industries,IPC)資料檔案、例如ISO 10303-210等一或多個國際標準組織(International Organization for Standardization,ISO)資料檔案。在圖1B所示示例性實施例中,使用一或多個標準電路元件庫中的一或多個標準電路元件及/或與所述一或多個標準電路元件對應的一或多個標準電路元件變形以與以上在圖1A中所述的相似方式形成電子架構設計。
生產線中段處理應用124根據電子架構設計來形成一或多條局部內連線(例如一或多個通孔及/或一或多個接點),以電性連接所述一或多個半導體裝置。在圖1B所示示例性實施例中,所述一或多條局部內連線代表用於所述一或多個半導體裝置之間的電性連接類比及/或數位電路系統的所述一或多個半導體裝置的一或多條全局內連線的各種連接。
生產線後段處理應用126根據電子架構設計在各局部內連線之間形成所述一或多條全局內連線,以形成電子裝置的類比電路系統及/或數位電路系統。
可由示例性電子設計平台利用的示例性標準電路元件庫
圖2是根據本揭露示例性實施例的示例性標準電路元件庫。如以上在圖1A中所述的放置及路由應用104自標準電路元件庫(例如圖2所示標準電路元件庫200)中選擇一或多個標準電路元件及/或與所述一或多個標準電路元件對應的一或多個標準電路元件變形,以根據電子裝置的類比電路系統及/或數位電路系統的所述一或多種高階軟體層次描述來將所述一或多個標準電路元件 及/或所述一或多個標準電路元件變形放置至電子裝置設計基板面上。如圖2所示,標準電路元件庫200包括標準電路元件庫202,標準電路元件庫202具有標準電路元件204.1至204.b,標準電路元件204.1至204.b分別與具有標準電路元件變形208.1.1至208.b.1以及標準電路元件變形208.1.a至208.b.a的標準電路元件變形庫206.1至206.a相關聯。標準電路元件庫200可代表如以上在圖1A中所述的標準電路元件庫110的示例性實施例。在示例性實施例中,半導體代工廠可自標準電路元件庫202開發標準電路元件變形庫206.1至206.a及/或將標準電路元件變形庫206.1至206.a遞送至半導體代工廠的一或多個客戶以對電子裝置的類比電路系統及/或數位電路系統進行設計、模擬、分析、及/或驗證。
在圖2所示示例性實施例中,標準電路元件204.1至204.b代表可用於形成電子裝置的類比電路系統及/或數位電路系統的電子架構設計的幾何形狀、幾何形狀的位置、及/或各幾何形狀之間的內連線。如圖2所示,標準電路元件變形庫206.1內的標準電路元件變形208.1.1至208.b.1以及標準電路元件變形庫206.a內的標準電路元件變形208.1.a至208.b.a代表標準電路元件庫202內的標準電路元件204.1至204.b的一或多個標準電路元件變形。舉例而言,標準電路元件變形208.1.1至208.b.1代表標準電路元件204.1至204.b的第一變形,且標準電路元件變形208.1.a至208.b.a代表標準電路元件204.1至204.b的第a變形。標準電路元件變形208.1.1至208.b.1及標準電路元件變形208.1.a至208.b.a具有與 標準電路元件204.1至204.b相似的功能,但分別在幾何形狀、幾何形狀的位置、及/或各幾何形狀之間的內連線方面彼此不同。儘管圖2是具有標準電路元件變形208.1.1至208.b.1的標準電路元件變形庫206.1以及具有標準電路元件變形208.1.a至208.b.a的標準電路元件變形庫206.a,然而此僅用於說明性目的。熟習相關技術者將認識到,標準電路元件變形庫206.1至206.a可在不背離本揭露的精神及範圍條件下包括標準電路元件204.1至204.b的不同變形。在示例性實施例中,標準電路元件變形庫206.1可至少包括標準電路元件變形208.1.1且標準電路元件變形庫206.a可包括至少標準電路元件變形208.1.a。在一些情形中,並非所有標準電路元件204.1至204.b需要與如圖2所示的標準電路元件變形庫206.1至206.a中的標準電路元件變形庫相關聯,來減小標準電路元件庫200所需要的大小。
對於一或多個標準電路元件變形的示例性標準電路元件選擇
圖3是根據本揭露示例性實施例的用於放置電子裝置的類比電路系統及/或數位電路系統的電子裝置設計基板面。在圖3所示示例性實施例中,電子裝置設計基板面300可在邏輯上被劃分成與一系列合法位點行304.1至304.i相交的一系列合法位點列302.1至302.m,以形成由合法位點306.1.1至306.m.i構成的陣列。由合法位點306.1.1至306.m.i構成的陣列可用於放置一或多個標準電路元件,例如如以上在圖1A中所述的所述一或多個標準電路 元件及/或所述一或多個標準電路元件變形、及/或如以上在圖2中所述的標準電路元件204.1至204.b及/或標準電路元件變形208.1.1至208.b.1以及標準電路元件變形208.1.a至208.b.a。然而,如圖3所示由合法位點306.1.1至306.m.i構成的陣列僅用於說明性目的。熟習相關技術者將認識到,電子裝置設計基板面300可在不背離本揭露的精神及範圍條件下在邏輯上被劃分成由合法位點構成的其他配置及安排形式。一般而言,合法位點代表用於放置所述一或多個標準電路元件的積體電路設計的基本單元。如此一來,所述一或多個標準電路元件中的每一者可被表徵為需要將由合法位點306.1.1至306.m.i構成的陣列中的合法位點中的一或多者放置至電子裝置設計基板面300上。
圖4是根據本揭露示例性實施例的用於開發示例性標準電路元件庫的示例性操作的流程。本揭露並非僅限於此操作說明。更確切而言,對於相關技術中具有通常知識者將顯而易見的是,其他操作控制流程亦處於本揭露的精神及範圍內。以下論述闡述用於開發示例性標準電路元件庫(例如如以上在圖1A中所述的標準電路元件庫110及/或如以上在圖2中所述的標準電路元件庫200)的示例性操作控制流程400。在示例性實施例中,半導體代工廠可利用操作控制流程400來開發標準電路元件庫及/或將標準電路元件庫遞送至半導體代工廠的一或多個客戶,以對電子裝置的類比電路系統及/或數位電路系統進行設計、模擬、分析、及/或驗證。
在操作402中,操作控制流程400自標準電路元件庫中選擇標準電路元件,例如自如以上在圖1A中所述的標準電路元件庫110中選擇標準電路元件中的一者及/或自如以上在圖2中所述的標準電路元件庫202中選擇標準電路元件204.1至204.b中的一者。標準電路元件包括幾何形狀、幾何形狀的位置、及各幾何形狀之間的內連線,所述幾何形狀、所述幾何形狀的位置、及所述各幾何形狀之間的內連線可用於實作電子裝置的類比電路系統及/或數位電路系統的所述一或多個高階軟體層次描述的所述一或多個邏輯運算、所述一或多個算數運算、所述一或多個控制操作、及/或在不背離本揭露的精神及範圍條件下將對熟習相關技術者顯而易見的任何其他適合的一或多個操作中的一或多者。
在操作404中,操作控制流程400判斷是否開發操作402的標準電路元件的一或多個標準電路元件變形。在圖4所示示例性實施例中,操作控制流程400將操作402的標準電路元件的大小與合法位點臨限數目用合法位點進行比較,以判斷是否開發操作402的標準電路元件的所述一或多個標準電路元件變形。在一些情形中,放置及路由應用(例如放置及路由應用104)在將所述一或多個所選擇標準電路元件中需要小於或等於合法位點臨限數目(例如五)的小的標準電路元件(亦被稱為關鍵標準電路元件)放置至電子裝置設計基板面(例如電子裝置設計基板面300)上之前,將所述一或多個標準電路元件中需要大於所述合法位點臨限數目的大的標準電路元件放置至所述電子裝置設計基板面上。在 該些情形中,在將大的標準電路元件放置至電子裝置設計基板面上之後,在電子裝置設計基板面內較少的合法位點可用於放置該些關鍵標準電路元件。如此一來,在圖4所示的示例性實施例中,操作控制流程400開發該些關鍵標準電路元件的一或多個標準電路元件變形。當操作402的標準電路元件的大小大於合法位點臨限數目時,操作402的標準電路元件代表大的標準電路元件。在此種情形中,不開發操作402的標準電路元件的所述一或多個標準電路元件變形,且操作控制流程400返回至操作402以自標準電路元件庫中選擇另一標準電路元件。否則,當操作402的標準電路元件的大小小於或等於合法位點臨限數目時,操作控制流程400進行至操作406,以開發操作402的標準電路元件的所述一或多個標準電路元件變形。
在操作406中,操作控制流程400開發操作402的標準電路元件的所述一或多個標準電路元件變形。在圖4所示示例性實施例中,操作控制流程400開發操作402的標準電路元件的所述一或多個標準電路元件變形,以滿足一或多個電子設計約束條件。所述一或多個電子設計約束條件可包括一或多個推薦參數,所述一或多個推薦參數由用於製作電子裝置的一或多個半導體代工廠及/或一或多個半導體技術節點定義。所述一或多個推薦參數可包括所述一或多個標準電路元件的推薦幾何形狀、所述一或多個標準電路元件的幾何形狀的推薦位置、各幾何形狀之間的推薦內連線、及/或在不背離本揭露的精神及範圍條件下將對熟習相關 技術者顯而易見的任何其他適合的一或多個電子設計約束條件。舉例而言,所述一或多個推擠參數可包括用於所述一或多個標準電路元件的電源連接、接地連接、及/或輸入/輸出連接在電子裝置設計基板面上的推薦位置、一或多個擴散層、一或多個多晶矽層、一或多個金屬層、及/或所述各層之間的一或多條內連線在電子裝置設計基板面上的推薦位置。然而,在一些情形中,可沿線性軸(例如沿笛卡爾(Cartesian)座標系的「x」軸或笛卡爾座標系的「y」軸)對操作402的標準電路元件進行鏡像,以滿足所述一或多個電子設計約束條件。此鏡像標準電路元件具有與操作402的標準電路元件相似的功能,但當與操作402的標準電路元件進行比較時,鏡像標準電路元件的幾何形狀、幾何形狀的位置、及/或各幾何形狀之間的內連線是關於線性軸成鏡像。在該些情形中,操作控制流程400不開發操作402的標準電路元件的所述一或多個標準電路元件變形。更確切而言,操作控制流程400返回至操作402,以自標準電路元件庫中選擇另一標準電路元件。再次返回至圖4,在開發出操作402的標準電路元件的所述一或多個標準電路元件變形之後,操作控制流程400返回至操作402以自標準電路元件庫中選擇另一標準電路元件。在示例性實施例中,在開發出操作402的標準電路元件的所述一或多個標準電路元件變形之後,操作控制流程400可將標準電路元件庫遞送至半導體代工廠的所述一或多個客戶,以對電子裝置的類比電路系統及/或數位電路系統進行設計、模擬、分析、及/或驗證。
一或多個標準電路元件的示例性變形
圖5A及圖5B分別是根據本揭露示例性實施例的第一示例性標準電路元件及與所述第一示例性標準電路元件對應的第一示例性標準電路元件變形的示例性半導體佈局圖。圖5A及圖5B分別是處於半導體堆疊內的標準電路元件500及標準電路元件變形502的簡化示例性佈局圖。所述半導體堆疊包括一或多個擴散層、一或多個多晶矽層、及/或一或多個金屬層以及該些層之間的一或多條內連線。為簡明起見,圖5A及圖5B是該些層之間的一或多條內連線。熟習相關技術者將認識到,標準電路元件500及標準電路元件變形502可在不背離本揭露的精神及範圍條件下,包括所述一或多個擴散層、所述一或多個多晶矽層、及/或所述一或多個金屬層。
如上所述,所述一或多個半導體代工廠及/或所述一或多個半導體技術節點可對所述一或多個擴散層、所述一或多個多晶矽層、及/或所述一或多個金屬層以及該些層之間的所述一或多條內連線施加所述一或多個電子設計約束條件。所述一或多個電子設計約束條件可限定可用於放置標準電路元件500及/或標準電路元件變形502的電子裝置設計基板面(例如電子裝置設計基板面300)內的合法位點。如圖5A及圖5B所示,標準電路元件500及標準電路元件變形502包括所述一或多條內連線(在圖5A及圖5B中被示出為一或多個正方形的「x」),以分別將標準電路元件500及標準電路元件變形502電性連接至各種電位,例如電源電位 及/或接地電位。
在圖5A及圖5B所示示例性實施例中,所述一或多個半導體代工廠及/或所述一或多個半導體技術節點為電子設計基板面內的所述一或多條內線路推薦一或多個位置。舉例而言,12奈米的半導體技術節點(亦被稱為N12半導體技術節點)推薦所述一或多條內連線以半導體堆疊的所述一或多個多晶矽層內的各多晶矽區之間的最小間距(亦被稱為多晶矽節距)的至少兩倍進行定位。在如圖5A所示的此實例中,標準電路元件500的所述一或多條內連線可與電性設計跡線(electrical design track)504.1至504.h中的偶數編號的電性設計跡線504.2至504.h一致,以滿足由N12半導體技術節點推薦的所述一或多條內連線的位置。電性設計跡線504.1至504.h代表用於放置標準電路元件500及/或標準電路元件變形502的電子裝置設計基板面內的邏輯電性設計跡線。在圖5A及圖5B所示示例性實施例中,電性設計跡線504.1至504.h彼此間隔至少一個多晶矽節距。與在如圖5B所示此實例中相似,標準電路元件變形502的所述一或多條內連線與電性設計跡線504.1至504.h中的奇數編號的電性設計跡線504.1至504.(h-1)一致,以滿足由N12半導體技術節點推薦的所述一或多條內連線的位置。在圖5B所示示例性實施例中,標準電路元件變形502具有與標準電路元件500相似的功能,但在所述一或多條內連線的放置方面與標準電路元件500不同。
圖6A及圖6B分別進一步示出根據本揭露示例性實施例 的第一示例性標準電路元件及與所述第一標準電路元件對應的第一示例性標準電路元件變形的示例性半導體佈局圖。圖6A及圖6B分別是處於半導體堆疊內的用於反及(NAND)邏輯閘的標準電路元件600及用於反及邏輯閘的標準電路元件變形602的簡化示例性佈局圖。標準電路元件600及標準電路元件變形602可代表如以上在圖5A中所述的標準電路元件500及如以上在圖5B中所述的標準電路元件變形502的示例性實施例。
如圖6A所示,標準電路元件600包括處於電性設計跡線504.1至504.6中偶數編號的電性設計跡線504.2、504.4、及504.6中的所述一或多條內連線,在圖6A及圖6B中被示出為所述一或多個正方形的「x」,以滿足由N12半導體技術節點推薦的所述一或多條內連線的位置,且標準電路元件變形602包括處於電性設計跡線504.1至504.6中奇數編號的電性設計跡線504.3及504.5中的所述一或多條內連線,以滿足由N12半導體技術節點推薦的所述一或多條內連線的位置。此外,圖6A及圖6B進一步示出位於半導體堆疊內的一或多個金屬層(在圖6A及圖6B中使用灰色陰影示出)及/或一或多條其他內連線(在圖6A及圖6B中被示出為一或多個正方形的「+」)。如圖6A及圖6B所示,所述一或多個金屬層的幾何形狀、幾何形狀的位置、及/或各幾何形狀之間的內連線、及/或所述一或多個金屬層之間的所述一或多條其他內連線在一些情形中亦可在標準電路元件600與標準電路元件變形602之間有所變化。儘管所述一或多個金屬層及/或所述一或多條 其他內連線可在標準電路元件600與標準電路元件變形602之間有所變化,然而熟習相關技術者將認識到標準電路元件600與標準電路元件變形602具有彼此相似的功能,即反及邏輯運算,此皆不背離本揭露的精神及範圍。然而,熟習相關技術者將認識到,在不背離本揭露的精神及範圍條件下,亦可存在標準電路元件600及/或標準電路元件變形602的其他功能。
圖7A及圖7B分別是根據本揭露示例性實施例的第二示例性標準電路元件及與所述第二示例性標準電路元件對應的第二示例性標準電路元件變形的示例性半導體佈局圖。圖7A及圖7B分別是處於半導體堆疊內的標準電路元件700及標準電路元件變形702的簡化示例性佈局圖。所述半導體堆疊包括一或多個擴散層、一或多個多晶矽層、及/或一或多個金屬層以及該些層之間的一或多條內連線。為簡明起見,圖7A及圖7B是電子裝置設計基板面(例如電子裝置設計基板面300)內的由標準電路元件700及標準電路元件變形702佔據的合法位點。熟習相關技術者將認識到,標準電路元件700及標準電路元件變形702可在不背離本揭露的精神及範圍條件下包括所述一或多個擴散層、所述一或多個多晶矽層、及/或所述一或多個金屬層以及該些層之間的所述一或多條內連線。
標準電路元件700與標準電路元件變形702具有相似的功能,但在由標準電路元件700及標準電路元件變形702佔據的合法位點704.1至704.p的配置及安排形式方面為不同的。如圖7A 所示,標準電路元件700在第一方向上(例如沿笛卡爾座標系的「x」軸)以矩形方式被配置及安排成佔據合法位點704.1至704.p。此外,標準電路元件變形702如圖7B所示在第一方向上以「L形」方式被配置及安排成佔據合法位點704.1至704.p。熟習相關技術者將認識到,在不背離本揭露的精神及範圍條件下,對於標準電路元件700而言可能存在的其他標準電路元件變形具有合法位點704.1至704.p的其他直線式配置及安排形式。
標準電路元件及/或標準電路元件變形的放置
圖8是根據本揭露示例性實施例的用於放置標準電路元件庫中的標準電路元件及/或標準電路元件變形的示例性操作的流程。本揭露並非僅限於此操作說明。更確切而言,對於相關技術中具有通常知識者將顯而易見的是,其他操作控制流程亦處於本揭露的精神及範圍內。以下論述闡述在放置標準電路元件庫中(例如,如以上在圖1A中所述的標準電路元件庫110及/或如以上在圖2中所述的標準電路元件庫200)的一或多個標準電路元件及/或一或多個標準電路元件變形時,放置及路由應用(例如放置及路由應用104)的示例性操作控制流程800。
在操作802中,操作控制流程800自標準電路元件庫中選擇標準電路元件,例如自如以上在圖1A中所述的標準電路元件庫110中選擇標準電路元件中的一者及/或自如以上在圖2中所述的標準電路元件庫202中選擇標準電路元件204.1至204.b中的一者,以將所述標準電路元件放置至電子裝置設計基板面(例如電 子裝置設計基板面300)上。標準電路元件包括幾何形狀、幾何形狀的位置、及/或各幾何形狀之間的內連線,所述幾何形狀、所述幾何形狀的位置、及/或所述各幾何形狀之間的內連線可用於實作電子裝置的類比電路系統及/或數位電路系統的所述一或多個高階軟體層次描述的所述一或多個邏輯運算、所述一或多個算數運算、所述一或多個控制操作、及/或在不背離本揭露的精神及範圍條件下將對熟習相關技術者顯而易見的任何其他適合的一或多個操作中的一或多者。
在操作804中,操作控制流程800判斷所述放置操作802的標準電路元件是否代表將操作802的標準電路元件放置至電子裝置設計基板面上的合法放置。在圖8所示示例性實施例中,當所述放置操作802的標準電路元件滿足一或多個電子設計約束條件時,此放置操作802的標準電路元件代表將操作802的標準電路元件放置至電子裝置基板面上的合法放置。所述一或多個電子設計約束條件可包括一或多個推薦參數,所述一或多個推薦參數由用於製作電子裝置的一或多個半導體代工廠及/或一或多個半導體技術節點定義。所述一或多個推薦參數可包括推薦幾何形狀、幾何形狀的推薦位置、各幾何形狀之間的推薦內連線、及/或在不背離本揭露的精神及範圍條件下將對熟習相關技術者顯而易見的任何其他適合的一或多個電子設計約束條件。舉例而言,所述一或多個推薦參數可包括電源連接、接地連接、及/或輸入/輸出連接在電子裝置設計基板面上的推薦位置;一或多個擴散層、一或多 個多晶矽層、一或多個金屬層、及/或所述各層之間的一或多條內連線在電子裝置設計基板面上的推薦位置。當所述放置操作802的標準電路元件代表將操作802的標準電路元件放置至電子裝置設計基板面上的合法放置時,操作控制流程800返回至操作802以自標準電路元件庫中選擇另一標準電路元件。否則,當所述放置操作802的標準電路元件不代表將操作802的標準電路元件放置至電子裝置設計基板面上的合法放置時,操作控制流程800進行至操作806。
在操作806中,操作控制流程800沿線性軸(例如沿笛卡爾座標系的「x」軸或笛卡爾座標系的「y」軸)對操作802的標準電路元件進行鏡像。鏡像標準電路元件具有與操作802的標準電路元件相似的功能,但當與操作802的標準電路元件進行比較時,鏡像標準電路元件的幾何形狀、幾何形狀的位置、及/或各幾何形狀之間的內連線是關於線性軸成鏡像。
在操作808中,操作控制流程800判斷所述放置操作806的鏡像標準電路元件是否代表以與以上在操作804中所述的實質上相同的方式將操作806的鏡像標準電路元件放置至電子裝置設計基板面上的合法放置。當所述放置操作806的鏡像標準電路元件代表將操作806的鏡像標準電路元件放置至電子裝置設計基板面上的合法放置時,操作控制流程800返回至操作802以自標準電路元件庫中選擇另一標準電路元件。否則,當所述放置操作806的鏡像標準電路元件不代表將操作806的鏡像標準電路元件放置 至電子裝置設計基板面上的合法放置時,操作控制流程800進行至操作810。
在操作810中,操作控制流程800自標準電路元件庫中選擇標準電路元件變形,例如自如以上在圖1A中所述的標準電路元件庫110中選擇標準電路元件變形中的一者及/或分別自如以上在圖2中所述的標準電路元件變形庫206.1及標準電路元件變形庫206.a中選擇標準電路元件變形208.1.1至208.2.b及/或標準電路元件變形208.1.a至208.2.a中的一者,以將所述標準電路元件變形放置至電子裝置設計基板面上。標準電路元件庫中的標準電路元件變形代表操作802的標準電路元件的變形,其具有與操作802的標準電路元件相似的功能,但在幾何形狀、幾何形狀的位置、及/或各幾何形狀之間的內連線方面為不同的。
在操作812中,操作控制流程800判斷所述放置操作810的標準電路元件變形是否代表以與以上在操作804中所述的實質上相同的方式將操作810的標準電路元件變形放置至電子裝置設計基板面上的合法放置。當所述放置操作810的標準電路元件變形代表將操作810的標準電路元件變形放置至電子裝置設計基板面上的合法放置時,操作控制流程800返回至操作802以自標準電路元件庫中選擇另一標準電路元件。否則,當所述放置操作810的標準電路元件變形不代表將操作810的標準電路元件變形放置至電子裝置設計基板面上的合法放置時,操作控制流程800返回至操作810以自標準電路元件庫中選擇另一標準電路元件變形來 放置至電子裝置設計基板面上。
用於實作示例性設計平台的示例性電腦系統
圖9是根據本揭露示例性實施例的用於實作示例性設計平台的示例性電腦系統的方塊圖。可使用電腦系統900來實作電子設計平台100及/或電子設計平台120。然而,在一些情形中,可使用多於一個電腦系統900來實作電子設計平台100。在閱讀本說明之後,對於熟習相關技術者將顯而易見的是,如何使用其他電腦系統及/或電腦架構來實作實施例。
電腦系統900包括一或多個處理器904(亦被稱為中央處理單元(central processing unit)或CPU,以執行如以上在圖1A中所述的合成應用102、放置及路由應用104、模擬應用106、及/或驗證、及/或如以上在圖1B中所述的生產線前段處理應用122、生產線中段處理應用124、及生產線後段處理應用126。所述一或多個處理器904可連接至通訊基礎設施(communication infrastructure)或匯流排(bus)906。在示例性實施例中,所述一或多個處理器904中的一或多者可被實作為圖形處理單元(graphics processing unit,GPU)。圖形處理單元代表被設計成快速處理電子裝置上的數學密集型應用的專門化電子電路。圖形處理單元可具有對大的資料區塊(例如由電腦圖形應用、影像、及視訊共用的數學密集型資料)進行高效並行處理的高度平行結構。
電腦系統900亦包括藉由使用者輸入/輸出介面902與通訊基礎設施906進行通訊的使用者輸入/輸出裝置903,例如監視 器、鍵盤、指向裝置等。
電腦系統900亦包括主記憶體(main memory)或一級記憶體(primary memory)908,例如隨機存取記憶體(RAM)。主記憶體908可包括一或多階快取。主記憶體908中儲存有控制邏輯(即,電腦軟體)及/或資料,例如以上在圖1A中所述的標準電路元件庫110。電腦系統900亦可包括一或多個二級儲存裝置(secondary storage device)或記憶體910以儲存如以上在圖1A中所述的標準電路元件庫110。所述一或多個二級儲存裝置或記憶體910可包括例如硬碟驅動器912及/或可移除儲存裝置或驅動器914。可移除儲存驅動器914可為軟式磁碟驅動器、磁帶驅動器、光碟驅動器、光學儲存裝置、磁帶備份裝置、及/或任何其他儲存裝置/驅動器。可移除儲存驅動器914可與可移除儲存單元918交互作用。可移除儲存單元918包括電腦可使用或可讀取儲存裝置,在所述電腦可使用或可讀取儲存裝置上儲存有電腦軟體(控制邏輯)及/或資料。可移除儲存單元918可為軟式磁碟、磁帶、光碟、數位影音光碟(Digital Video Disk,DVD)、光學儲存磁碟、及/或任何其他電腦資料儲存裝置。可移除儲存裝置914以所習知的方式自可移除儲存單元918讀取及/或寫入至可移除儲存單元918。
根據示例性實施例,所述一或多個二級儲存裝置或記憶體910可包括使得電腦系統900能夠存取電腦程式及/或其他指令及/或資料的其他構件、機構、或其他途徑。此類構件、機構、或其他途徑可包括例如可移除儲存單元922及介面920。可移除儲存 單元922及介面920的實例可包括程式盒及盒式接口(例如見於視訊遊戲裝置中的程式匣及匣式介面)、可移除記憶體晶片(例如可抹除可程式化唯讀記憶體(erasable programmable read-only memory,EPROM)或可程式化唯讀記憶體(programmable read-only memory,PROM))及相關聯的擴充槽(slot)、記憶條(memory stick)及通用串列匯流排(Universal Serial Bus,USB)埠、記憶卡及相關聯的記憶卡槽、及/或任何其他可移除儲存單元及相關聯的介面。
電腦系統900可更包括通訊或網路介面924。通訊或網路介面924使得電腦系統900能夠與遠端裝置、遠端網路、遠端實體等(以參考編號928個別地及集體地提及)的任何組合進行通訊及交互。舉例而言,通訊或網路介面924可使得電腦系統900能夠藉由通訊路徑926與遠端裝置928進行通訊,通訊路徑926可為有線的及/或無線的且可包括局部區域網路(local area network,LAN)、廣域網路(wide area network,WAN)、網際網路等的任何組合。控制邏輯及/或資料可經由通訊路徑926而被傳送至電腦系統900及自電腦系統900進行傳送。在示例性實施例中,遠端裝置928可包括一或多個計算裝置、處理器、控制器、或在不背離本揭露的精神及範圍條件下將對熟習相關技術者顯而易見的其他裝置,以執行如以上在圖1A中所述的電子設計平台100。在另一示例性實施例中,遠端裝置928可包括一或多個計算裝置、處理器、控制器、或在不背離本揭露的精神及範圍條件下將對熟習相關技術者顯而易見的其他裝置,以執行如以上在圖1B 中所述的電子設計平台120。
在實施例中,包括上面儲存有控制邏輯(軟體)的有形電腦可使用或可讀取媒體的有形的製造設備或製品在本文中亦被稱為電腦程式產品或程式儲存裝置。此包括但不限於電腦系統900、主記憶體908、二級記憶體910、及可移除儲存單元918及922、以及將前述的任何組合具體化的有形製造製品。此種控制邏輯在由一或多個資料處理裝置(例如電腦系統900)執行時,使此種資料處理裝置如本文所述般運作。
基於本揭露內容中所包含的教示內容,對於熟習相關技術者將顯而易見的是,如何使用除圖9所示以外的資料處理裝置、電腦系統、及/或電腦架構來達成及使用本發明。具體而言,實施例可利用除本文所述的軟體、硬體、及/或作業系統實作方式以外的軟體、硬體及/或作業系統實作方式來運作。
結論
前述具體實施方式揭露一種用於開發電子裝置的電子架構設計的系統。所述系統包括記憶體及處理器。所述記憶體儲存標準電路元件庫,所述標準電路元件庫包括標準電路元件及標準電路元件變形。所述處理器執行放置及路由應用。所述放置及路由應用在由所述處理器執行時,使所述處理器:將標準電路元件放置至電子裝置設計基板面上,當第一標準電路元件不滿足電子設計約束條件時,自標準電路元件變形中選擇與所述標準電路元件中的第一標準電路元件對應的第一標準電路元件變形,當所述 第一標準電路元件不滿足電子設計約束條件時,以所述第一標準電路元件變形替換所述電子裝置設計基板面內的第一標準電路元件,以及對所述標準電路元件中的放置至所述電子裝置設計基板面上的標準電路元件、以及所述第一標準電路元件變形進行路由,以開發所述電子裝置的電子架構設計。
在本發明的實施例中,其中包括第一多個幾何形狀及第一多條內連線的所述第一標準電路元件被配置及安排成執行功能,且其中包括第二多個幾何形狀及第二多條內連線的所述第一標準電路元件變形被配置及安排成執行所述功能,所述第二多個幾何形狀及所述第二多條內連線分別不同於所述第一多個幾何形狀及所述第一多條內連線。
在本發明的實施例中,其中所述放置及路由應用在由所述處理器執行時將所述處理器配置成在對佔據所述電子裝置設計基板面內的多個合法位點中的較少合法位點的所述第一標準電路元件進行放置之前,對佔據所述多個合法位點中的較多合法位點的第二標準電路元件進行放置,其中所述第二標準電路元件的一或多個標準電路元件變形不包含於所述多個標準電路元件變形內。
在本發明的實施例中,其中所述電子設計約束條件包括:推薦參數,由製作所述電子裝置的代工廠及/或半導體技術節點定義。
在本發明的實施例中,其中所述推薦參數包括:用於所 述第一標準電路元件的一或多個電源連接、一或多個接地連接、或一或多個輸入/輸出連接的一或多個推薦位置,用於所述第一標準電路元件的一或多個擴散層、一或多個多晶矽層、一或多個金屬層的一或多個推薦位置,或者用於所述一或多個擴散層、所述一或多個多晶矽層、或所述一或多個金屬層之間的一或多條內連線的一或多個推薦位置。
在本發明的實施例中,其中所述放置及路由應用在由所述處理器執行時,更將所述處理器配置成驗證所述第一標準電路元件是否滿足所述電子設計約束條件。
前述具體實施方式另外揭露一種用於開發標準電路元件庫的方法。所述方法包括:自所述標準電路元件庫中的標準電路元件庫選擇標準電路元件;將由所述標準電路元件佔據的合法位點的數目與合法位點臨限數目進行比較;以及當由所述標準電路元件佔據的所述合法位點的數目小於或等於合法位點臨限數目時,開發標準電路元件變形庫,所述標準電路元件變形庫包括與所述標準電路元件對應的標準電路元件變形。
在本發明的實施例中,更包括:所述電腦系統驗證所述標準電路元件變形是否滿足所述標準電路元件所不滿足的電子設計約束條件。
在本發明的實施例中,其中所述電子設計約束條件包括:推薦參數,由製作所述電子裝置的代工廠及/或半導體技術節點定義。
在本發明的實施例中,其中所述推薦參數包括:用於所述第一標準電路元件的一或多個電源連接、一或多個接地連接、或一或多個輸入/輸出連接的一或多個推薦位置,用於所述第一標準電路元件的一或多個擴散層、一或多個多晶矽層、一或多個金屬層的一或多個推薦位置,或者用於所述一或多個擴散層、所述一或多個多晶矽層、或所述一或多個金屬層之間的一或多條內連線的一或多個推薦位置。
在本發明的實施例中,更包括:所述電腦系統將所述多個標準電路元件庫遞送至客戶,以利用所述多個標準電路元件庫來設計電子裝置。
在本發明的實施例中,其中包括第一多個幾何形狀及第一多條內連線的所述標準電路元件被配置及安排成執行功能,且其中包括第二多個幾何形狀及第二多條內連線的所述標準電路元件變形被配置及安排成執行所述功能,所述第二多個幾何形狀及所述第二多條內連線分別不同於所述第一多個幾何形狀及所述第一多條內連線。
在本發明的實施例中,更包括:當所述標準電路元件的大小大於所述合法位點臨限數目時,所述電腦系統不開發包括與所述標準電路元件對應的所述標準電路元件變形的所述標準電路元件變形庫。
在本發明的實施例中,更包括:對所述標準電路元件庫中的每一標準電路元件,迭代地重複所述選擇、所述比較、及所 述開發。
前述具體實施方式更揭露一種將電子裝置製作至半導體基底上的系統。所述系統包括記憶體及處理器。所述記憶體儲存所述電子裝置的電子架構設計,所述電子架構設計是利用多個標準電路元件變形庫來開發,所述多個標準電路元件變形庫包括與多個標準電路元件中的所佔據合法位點小於或等於合法位點臨限數目的標準電路元件對應的多個標準電路元件變形。所述處理器執行生產線前段(FEOL)處理應用,所述生產線前段在由所述處理器執行時,將所述處理器配置成根據所述電子架構設計在所述半導體基底內及/或所述半導體基底上形成所述電子裝置的一或多個半導體裝置。
在本發明的實施例中,其中所述處理器更被配置成執行生產線中段(MEOL)處理應用,所述生產線中段在由所述處理器執行時,更將所述處理器配置成:根據所述電子架構設計來形成一或多條第一內連線,以電連接所述一或多個半導體裝置。
在本發明的實施例中,其中所述一或多條第一內連線包括:一或多個通孔;或者一或多個接點。
在本發明的實施例中,其中所述處理器更被配置成執行生產線後段(BEOL)處理應用,所述生產線後段在由所述處理器執行時,更將所述處理器配置成:根據所述電子架構設計在所述一或多條第一內連線之間形成一或多條第二內連線,以電連接所述一或多個半導體裝置而形成所述電子裝置。
在本發明的實施例中,其中所述多個標準電路元件中包括第一多個幾何形狀及第一多條內連線的標準電路元件被配置及安排成執行功能,且其中所述多個標準電路元件變形中包括第二多個幾何形狀及第二多條內連線的標準電路元件變形被配置及安排成執行所述功能,所述第二多個幾何形狀及所述第二多條內連線分別不同於所述第一多個幾何形狀及所述第一多條內連線。
在本發明的實施例中,其中所述生產線前段在由所述處理器執行時,將所述處理器配置成在所述半導體基底內形成一或多個阱或者在所述半導體基底內及/或所述半導體基底上形成類比及/或數位電路系統的所述一或多個半導體裝置的一或多個端子。
200、202‧‧‧標準電路元件庫
204.1、204.2、204.b‧‧‧標準電路元件
206.1、206.a‧‧‧標準電路元件變形庫
208.1.1、208.2.1、208.b.1、208.1.a、208.2.a、208.b.a‧‧‧標準電路元件變形

Claims (9)

  1. 一種用於開發電子裝置的電子架構設計的系統,所述系統包括:記憶體,儲存多個標準電路元件庫,所述多個標準電路元件庫包括多個標準電路元件及多個標準電路元件變形;以及處理器,被配置成執行放置及路由應用,所述放置及路由應用在由所述處理器執行時,將所述處理器配置成:將所述多個標準電路元件放置至電子裝置設計基板面上,當所述多個標準電路元件中的第一標準電路元件不滿足電子設計約束條件時,自所述多個標準電路元件變形中選擇與所述第一標準電路元件對應的第一標準電路元件變形,當所述第一標準電路元件不滿足所述電子設計約束條件時,以所述第一標準電路元件變形替換所述電子裝置設計基板面內的所述第一標準電路元件,對所述多個標準電路元件中的放置至所述電子裝置設計基板面上的標準電路元件、以及所述第一標準電路元件變形進行路由,以開發所述電子裝置的所述電子架構設計,以及在對佔據所述電子裝置設計基板面內的多個合法位點中的較少合法位點的所述第一標準電路元件進行放置之前,對佔據所述多個合法位點中的較多合法位點的第二標準電路元件進行放置, 其中所述第二標準電路元件的一或多個標準電路元件變形不包含於所述多個標準電路元件變形內。
  2. 如申請專利範圍第1項所述的系統,其中包括第一多個幾何形狀及第一多條內連線的所述第一標準電路元件被配置及安排成執行功能,且其中包括第二多個幾何形狀及第二多條內連線的所述第一標準電路元件變形被配置及安排成執行所述功能,所述第二多個幾何形狀及所述第二多條內連線分別不同於所述第一多個幾何形狀及所述第一多條內連線。
  3. 如申請專利範圍第1項所述的系統,其中所述放置及路由應用在由所述處理器執行時,更將所述處理器配置成驗證所述第一標準電路元件是否滿足所述電子設計約束條件。
  4. 一種開發多個標準電路元件庫的方法,所述方法包括:電腦系統自所述多個標準電路元件庫中的標準電路元件庫選擇標準電路元件;所述電腦系統將由所述標準電路元件佔據的合法位點的數目與合法位點臨限數目進行比較;以及當由所述標準電路元件佔據的所述合法位點的數目小於或等於所述合法位點臨限數目時,所述電腦系統開發標準電路元件變形庫,所述標準電路元件變形庫包括與所述標準電路元件對應的標準電路元件變形。
  5. 如申請專利範圍第4項所述的方法,更包括: 所述電腦系統驗證所述標準電路元件變形是否滿足所述標準電路元件所不滿足的電子設計約束條件。
  6. 如申請專利範圍第4項所述的方法,其中包括第一多個幾何形狀及第一多條內連線的所述標準電路元件被配置及安排成執行功能,且其中包括第二多個幾何形狀及第二多條內連線的所述標準電路元件變形被配置及安排成執行所述功能,所述第二多個幾何形狀及所述第二多條內連線分別不同於所述第一多個幾何形狀及所述第一多條內連線。
  7. 一種將電子裝置製作至半導體基底上的系統,所述系統包括:記憶體,儲存所述電子裝置的電子架構設計,所述電子架構設計是利用多個標準電路元件變形庫來開發,所述多個標準電路元件變形庫包括與多個標準電路元件中的所佔據合法位點小於或等於合法位點臨限數目的標準電路元件對應的多個標準電路元件變形;以及處理器,被配置成執行生產線前段(FEOL)處理應用,所述生產線前段在由所述處理器執行時,將所述處理器配置成:根據所述電子架構設計在所述半導體基底內及/或所述半導體基底上形成所述電子裝置的一或多個半導體裝置。
  8. 如申請專利範圍第7項所述的系統,其中所述多個標準電路元件中包括第一多個幾何形狀及第一多條內連線的標準電路 元件被配置及安排成執行功能,且其中所述多個標準電路元件變形中包括第二多個幾何形狀及第二多條內連線的標準電路元件變形被配置及安排成執行所述功能,所述第二多個幾何形狀及所述第二多條內連線分別不同於所述第一多個幾何形狀及所述第一多條內連線。
  9. 如申請專利範圍第7項所述的系統,其中所述生產線前段在由所述處理器執行時,將所述處理器配置成在所述半導體基底內形成一或多個阱或者在所述半導體基底內及/或所述半導體基底上形成類比及/或數位電路系統的所述一或多個半導體裝置的一或多個端子。
TW107100310A 2017-08-30 2018-01-04 開發電子裝置的電子架構設計的系統、開發多個標準電路元件庫的方法及將電子裝置製作至半導體基底上的系統 TWI683228B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762552049P 2017-08-30 2017-08-30
US62/552,049 2017-08-30
US15/800,693 US10741539B2 (en) 2017-08-30 2017-11-01 Standard cells and variations thereof within a standard cell library
US15/800,693 2017-11-01

Publications (2)

Publication Number Publication Date
TW201913423A TW201913423A (zh) 2019-04-01
TWI683228B true TWI683228B (zh) 2020-01-21

Family

ID=65435155

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107100310A TWI683228B (zh) 2017-08-30 2018-01-04 開發電子裝置的電子架構設計的系統、開發多個標準電路元件庫的方法及將電子裝置製作至半導體基底上的系統

Country Status (4)

Country Link
US (2) US10741539B2 (zh)
KR (1) KR102049103B1 (zh)
CN (1) CN109426693B (zh)
TW (1) TWI683228B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10741539B2 (en) * 2017-08-30 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Standard cells and variations thereof within a standard cell library
DE102017127276A1 (de) 2017-08-30 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Standardzellen und abwandlungen davon innerhalb einer standardzellenbibliothek
CN113536730A (zh) * 2021-06-16 2021-10-22 浙江华消科技有限公司 控制柜的布线方法、装置、电子装置和存储介质
US20230307484A1 (en) * 2022-03-22 2023-09-28 Omnivision Technologies, Inc. Pixel Cell Having Anti-Blooming Structure and Image Sensor
KR20230124511A (ko) 2023-08-07 2023-08-25 주식회사 쓰리디코리아 대형 사물을 필라멘트 또는 발포 필라멘트를 이용하여3d 프린터로 출력하는 방법 및 대형 사물을 필라멘트를 이용하여 3d 프린터로 출력해주는 3d 프린터용 헤드세트

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110239069A1 (en) * 2010-03-23 2011-09-29 Ravindraraj Ramaraju Sequential digital circuitry with test scan
TWI475695B (zh) * 2011-07-29 2015-03-01 Synopsys Inc 積體電路、製造元件庫的方法、資料處理系統及用於積體電路自動設計的產品
TWI534643B (zh) * 2008-09-15 2016-05-21 希諾皮斯股份有限公司 用於合併覆蓋率資料的電子設計自動化(eda)覆蓋率記錄的方法和裝置

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5459673A (en) * 1990-10-29 1995-10-17 Ross Technology, Inc. Method and apparatus for optimizing electronic circuits
US6209123B1 (en) * 1996-11-01 2001-03-27 Motorola, Inc. Methods of placing transistors in a circuit layout and semiconductor device with automatically placed transistors
US6075934A (en) * 1997-05-01 2000-06-13 Motorola, Inc. Method for optimizing contact pin placement in an integrated circuit
US6282693B1 (en) * 1998-12-16 2001-08-28 Synopsys, Inc. Non-linear optimization system and method for wire length and density within an automatic electronic circuit placer
US6453447B1 (en) * 1999-08-19 2002-09-17 Aeroflex Utmc Microelectronic Systems Inc. Method for fabricating integrated circuits
US6467074B1 (en) * 2000-03-21 2002-10-15 Ammocore Technology, Inc. Integrated circuit architecture with standard blocks
US6539533B1 (en) * 2000-06-20 2003-03-25 Bae Systems Information And Electronic Systems Integration, Inc. Tool suite for the rapid development of advanced standard cell libraries
US7225423B2 (en) * 2000-06-30 2007-05-29 Zenasis Technologies, Inc. Method for automated design of integrated circuits with targeted quality objectives using dynamically generated building blocks
DE10159699A1 (de) * 2001-12-05 2003-06-26 Infineon Technologies Ag Verfahren zur Herstellung einer integrierten Halbleiterschaltung
US7269803B2 (en) * 2003-12-18 2007-09-11 Lsi Corporation System and method for mapping logical components to physical locations in an integrated circuit design environment
US7191425B1 (en) * 2004-11-18 2007-03-13 Sun Microsystems, Inc. Method and apparatus for inserting extra tracks during library architecture migration
US7246337B2 (en) * 2004-12-08 2007-07-17 Lsi Corporation Density driven layout for RRAM configuration module
US7325214B2 (en) * 2005-02-03 2008-01-29 United Microelectronics Corp. Method for realizing circuit layout using cell library
US8490043B2 (en) * 2005-05-06 2013-07-16 Tela Innovations, Inc. Standard cells having transistors annotated for gate-length biasing
US7343581B2 (en) * 2005-06-27 2008-03-11 Tela Innovations, Inc. Methods for creating primitive constructed standard cells
JP4718914B2 (ja) * 2005-06-28 2011-07-06 株式会社東芝 半導体集積回路の設計支援システム、半導体集積回路の設計方法、半導体集積回路の設計支援プログラム、半導体集積回路の製造方法
WO2007002799A1 (en) * 2005-06-29 2007-01-04 Lightspeed Logic, Inc. Methods and systems for placement
JP2007103607A (ja) * 2005-10-03 2007-04-19 Matsushita Electric Ind Co Ltd スタンダードセル、半導体集積回路、半導体集積回路の設計方法、半導体集積回路の設計装置、及びスタンダードセルライブラリ
US20070157146A1 (en) * 2006-01-03 2007-07-05 Mediatek Inc. Method of packing-based macro placement and semiconductor chip using the same
US7564077B2 (en) * 2006-05-05 2009-07-21 Texas Instruments Incorporated Performance and area scalable cell architecture technology
US7739627B2 (en) * 2006-07-05 2010-06-15 Chew Marko P System and method of maximizing integrated circuit manufacturing yield with context-dependent yield cells
US7496862B2 (en) * 2006-08-29 2009-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for automatically modifying integrated circuit layout
DE102006059829A1 (de) 2006-12-15 2008-06-19 Slawomir Suchy Universalcomputer
US7562326B2 (en) * 2007-08-09 2009-07-14 United Microelectronics Corp. Method of generating a standard cell layout and transferring the standard cell layout to a substrate
US8037441B2 (en) * 2007-09-25 2011-10-11 International Business Machines Corporation Gridded-router based wiring on a non-gridded library
US8024695B2 (en) * 2008-02-05 2011-09-20 Nangate A/S Optimization of integrated circuit design and library
US8079008B2 (en) * 2008-03-31 2011-12-13 Broadcom Corporation High-speed low-leakage-power standard cell library
MY152456A (en) * 2008-07-16 2014-09-30 Tela Innovations Inc Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US7966596B2 (en) * 2008-08-27 2011-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Place-and-route layout method with same footprint cells
US8136072B2 (en) 2008-11-03 2012-03-13 Arm Limited Standard cell placement
US8631366B2 (en) * 2009-04-30 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit design using DFM-enhanced architecture
US8543958B2 (en) 2009-12-11 2013-09-24 Synopsys, Inc. Optical proximity correction aware integrated circuit design optimization
US8549447B2 (en) * 2010-04-24 2013-10-01 Robert Eisenstadt Integrated circuits with multiple I/O regions
US8832629B2 (en) * 2010-07-23 2014-09-09 Freescale Semiconductor, Inc. Method for optimising cell variant selection within a design process for an integrated circuit device
EP2469597A3 (en) * 2010-12-23 2016-06-29 Commissariat à l'Énergie Atomique et aux Énergies Alternatives Multi-level integrated circuit, device and method for modeling multi-level integrated circuits
US8726217B2 (en) * 2011-01-20 2014-05-13 GlobalFoundries, Inc. Methods for analyzing cells of a cell library
US8612914B2 (en) * 2011-03-23 2013-12-17 Synopsys, Inc. Pin routing in standard cells
US9058450B1 (en) * 2011-06-03 2015-06-16 Nangate Inc. Efficiently using cell libraries with a large number of cells
US8607172B2 (en) * 2011-10-06 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods of designing the same
US9003349B1 (en) * 2013-06-28 2015-04-07 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing a physical electronic design with area-bounded tracks
US8645893B1 (en) * 2012-10-23 2014-02-04 Arm Limited Method of generating a layout of an integrated circuit comprising both standard cells and at least one memory instance
US8775999B2 (en) * 2012-11-08 2014-07-08 Advanced Micro Devices, Inc. Standard cell placement method to exercise placement permutations of standard cell libraries
US8878303B2 (en) * 2012-12-28 2014-11-04 Broadcom Corporation Geometric regularity in fin-based multi-gate transistors of a standard cell library
US8739104B1 (en) * 2013-02-28 2014-05-27 Broadcom Corporation Systems and methods for forming an integrated circuit using a standard cell library
US9852253B2 (en) * 2013-05-17 2017-12-26 Cornell University Automated layout for integrated circuits with nonstandard cells
US9087170B2 (en) * 2013-08-28 2015-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Cell layout design and method
US8959472B1 (en) * 2013-09-27 2015-02-17 Arm Limited Considering compatibility of adjacent boundary regions for standard cells placement and routing
US9704846B1 (en) * 2013-10-04 2017-07-11 Pdf Solutions, Inc. IC chips containing a mixture of standard cells obtained from an original set of design rules and enhanced standard cells that are a substantially uniform variant of the original set of design rules and methods for making the same
US9355202B2 (en) * 2013-11-05 2016-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Promoting efficient cell usage to boost QoR in automated design
US10083269B2 (en) * 2013-11-19 2018-09-25 Arm Limited Computer implemented system and method for generating a layout of a cell defining a circuit component
US9177096B2 (en) * 2014-03-26 2015-11-03 Freescale Semiconductor, Inc. Timing closure using transistor sizing in standard cells
US9830415B2 (en) 2014-08-22 2017-11-28 Samsung Electronics Co., Ltd. Standard cell library, method of using the same, and method of designing semiconductor integrated circuit
KR102230197B1 (ko) 2014-08-22 2021-03-19 삼성전자주식회사 표준 셀 라이브러리, 이를 사용하는 방법 및 반도체 집적회로의 설계 방법
US9767248B2 (en) 2014-09-18 2017-09-19 Samsung Electronics, Co., Ltd. Semiconductor having cross coupled structure and layout verification method thereof
KR102423878B1 (ko) 2014-09-18 2022-07-22 삼성전자주식회사 다수의 소자 측정이 가능한 테스트용 반도체 장치 및 그것의 제조 방법 및 테스트 방법
TWI656622B (zh) * 2014-09-23 2019-04-11 聯華電子股份有限公司 積體電路佈局結構
KR102303301B1 (ko) * 2014-12-18 2021-09-16 삼성전자주식회사 반도체 장치의 설계 방법 및 설계 시스템
US20160283641A1 (en) * 2015-03-25 2016-09-29 Intel Corporation Method and apparatus for improving performance and power in an electronic design using standard cells
US9792400B2 (en) 2015-03-31 2017-10-17 Cavium, Inc. Determination of flip-flop count in physical design
US9727685B2 (en) * 2015-05-14 2017-08-08 Globalfoundries Inc. Method, apparatus, and system for improved standard cell design and routing for improving standard cell routability
US9846759B2 (en) * 2015-07-30 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Global connection routing method and system for performing the same
US10162925B2 (en) * 2015-09-18 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Cell layout of semiconductor device
CN106611075A (zh) * 2015-10-23 2017-05-03 飞思卡尔半导体公司 使用来自两个或多个库的标准单元的集成电路
US10169517B2 (en) * 2016-03-29 2019-01-01 Wipro Limited Methods and systems for reducing congestion in very large scale integrated (VLSI) chip design
KR102504289B1 (ko) 2016-04-07 2023-02-28 삼성전자 주식회사 인접 핀들 사이의 라우팅 간섭을 제거하는 구조를 갖는 표준 셀과 이를 포함하는 장치
US10282503B2 (en) * 2016-06-25 2019-05-07 Qualcomm Incorporated Mitigating length-of-diffusion effect for logic cells and placement thereof
US10740531B2 (en) * 2016-11-29 2020-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system for and method of forming an integrated circuit
US11139241B2 (en) * 2016-12-07 2021-10-05 Intel Corporation Integrated circuit device with crenellated metal trace layout
US10402530B1 (en) * 2016-12-30 2019-09-03 Cadence Design Systems, Inc. Method, system, and computer program product for implementing placement using row templates for an electronic design
US10572615B2 (en) * 2017-04-28 2020-02-25 Synopsys, Inc. Placement and routing of cells using cell-level layout-dependent stress effects
US10741539B2 (en) * 2017-08-30 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Standard cells and variations thereof within a standard cell library
US10769346B1 (en) * 2017-12-28 2020-09-08 Cadence Design Systems, Inc. Method, system, and computer program product for rearrangement of objects within an electronic design
CN110349947A (zh) * 2018-04-02 2019-10-18 台湾积体电路制造股份有限公司 半导体装置、其设计方法及包括其的系统

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI534643B (zh) * 2008-09-15 2016-05-21 希諾皮斯股份有限公司 用於合併覆蓋率資料的電子設計自動化(eda)覆蓋率記錄的方法和裝置
US20110239069A1 (en) * 2010-03-23 2011-09-29 Ravindraraj Ramaraju Sequential digital circuitry with test scan
TWI475695B (zh) * 2011-07-29 2015-03-01 Synopsys Inc 積體電路、製造元件庫的方法、資料處理系統及用於積體電路自動設計的產品

Also Published As

Publication number Publication date
KR20190024542A (ko) 2019-03-08
TW201913423A (zh) 2019-04-01
US11182533B2 (en) 2021-11-23
US20200328202A1 (en) 2020-10-15
US10741539B2 (en) 2020-08-11
US20190064770A1 (en) 2019-02-28
CN109426693A (zh) 2019-03-05
CN109426693B (zh) 2022-10-25
KR102049103B1 (ko) 2020-01-08

Similar Documents

Publication Publication Date Title
TWI683228B (zh) 開發電子裝置的電子架構設計的系統、開發多個標準電路元件庫的方法及將電子裝置製作至半導體基底上的系統
US11017149B2 (en) Machine-learning design enablement platform
TWI715945B (zh) 電腦系統以及分組及排序之方法
US9171124B2 (en) Parasitic extraction in an integrated circuit with multi-patterning requirements
US10496783B2 (en) Context-aware pattern matching for layout processing
US9767240B2 (en) Temperature-aware integrated circuit design methods and systems
KR20190135550A (ko) 셀 레벨 레이아웃 의존성 응력 효과들을 사용하는 셀의 배치 및 라우팅
JP4580006B2 (ja) 半導体集積回路のマスクレイアウト設計データの検証方法
Chen et al. Minimizing cluster number with clip shifting in hotspot pattern classification
US20130198712A1 (en) Canonical Signature Generation For Layout Design Data
US20150186591A1 (en) Selective Parasitic Extraction
US11704472B2 (en) Standard cells and variations thereof within a standard cell library
US20150135151A1 (en) Canonical Forms Of Layout Patterns
US10558781B2 (en) Support apparatus, design support method, and design support program
US11275884B2 (en) Systems and methods for photolithographic design
Kashyap et al. Thermal estimation for 3D-ICs through generative networks