CN103778273A - 产生包含标准单元及存储器实例的集成电路布图的方法 - Google Patents

产生包含标准单元及存储器实例的集成电路布图的方法 Download PDF

Info

Publication number
CN103778273A
CN103778273A CN201310499953.2A CN201310499953A CN103778273A CN 103778273 A CN103778273 A CN 103778273A CN 201310499953 A CN201310499953 A CN 201310499953A CN 103778273 A CN103778273 A CN 103778273A
Authority
CN
China
Prior art keywords
memory
polysilicon
wanted
memory example
compiler
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310499953.2A
Other languages
English (en)
Other versions
CN103778273B (zh
Inventor
格斯·杨
马丁·杰·金卡德
马林·维尼·小弗雷德里克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ARM Ltd
Original Assignee
Advanced Risc Machines Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Risc Machines Ltd filed Critical Advanced Risc Machines Ltd
Publication of CN103778273A publication Critical patent/CN103778273A/zh
Application granted granted Critical
Publication of CN103778273B publication Critical patent/CN103778273B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • G06F30/23Design optimisation, verification or simulation using finite element methods [FEM] or finite difference methods [FDM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明提供产生包含标准单元及存储器实例的集成电路布图的方法。存储器编译器具有指定电路组件和数据的定义的存储器架构。接收指定所欲存储器实例的特性的输入数据。随后使用存储器编译器以基于输入数据使用指定存储器架构产生所欲存储器实例。提供其内部的每一标准单元定义对应功能组件的标准单元库。在操作的整合增强模式中,存储器编译器引用标准单元库的特性以这样的形式产生所欲存储器实例:该形式在所欲存储器实例被整合至布图中时减小与该存储器实例的边界相关联的面积开销。随后通过从标准单元库中选择的标准单元填充标准单元行来产生布图以提供所需功能组件,并将所欲存储器实例整合至布图中。从而提供产生集成电路布图的面积高效机制。

Description

产生包含标准单元及存储器实例的集成电路布图的方法
技术领域
本发明是关于用于产生集成电路布图的技术,其中布图包含定义集成电路的功能组件的标准单元以及由存储器编译器产生以定义集成电路的存储器设备的至少一个存储器实例两者。
背景技术
集成电路包括芯片上存储器设备变得越来越常见,在特定示例中集成电路提供芯片上系统(System-on-Chip;SoC)。
当设计存储器设备时,存在可采用的两个一般途径。根据第一途径,可为特定实施方式定制设计存储器设备,此举可带来高效的设计。然而,此途径的缺点为在不同实施方式中再次使用该设计的机会很小,且因此此途径成本较高。根据替代途径,开发存储器架构来指定电路元件及数据的定义,该定义定义了用于组合这些电路组件的规则,并且随后使用存储器编译器工具来产生该存储器架构的存储器实例(亦即,具体实例化),以形成已考虑到该存储器设备的一些指定特性的所需存储器设备的设计。使用存储器编译器工具产生存储器实例的该后者途径是现今颇风行的技术,因为一旦定义了存储器架构,在已考虑到任何特定是统中的存储器设备的需求的情况下,可易于产生存储器设备的各种不同实例。
因此,当设计包括一个或多个芯片上存储器设备的SoC时,通常情况为将由存储器编译器产生(一个或多个)所需存储器实例,随后将每一所产生的存储器实例提供至用于产生集成电路布图的置放与布线工具(placeand route tool)。
置放与布线工具是一种自动工具,该工具使用所计划集成电路的功能设计(例如,以门级网表的形式,或者诸如可由Verilog模型提供的该设计的寄存器传送级(Register Transfer Level;RTL)更高级表示)和提供标准单元的集合(标准单元定义功能组件且是用于根据功能设计将集成电路布图放在一起的“构建块”)的单元库,以产生集成电路布图。若集成电路亦包括一个或多个存储器设备,则置放与布线工具需要将表示每一此类存储器设备的存储器实例置放在该布图内并且随后将所需标准单元置放为围绕(一个或多个)存储器实例以便根据所指定的功能设计形成集成电路布图。
然而,此类途径可导致空间使用低效,产生包括了并未贡献有用的功能性的一个或多个区域的布图。此情况可(例如)归因于在存储器实例的边界处存在浪费空间,该空间的大小不足以容纳标准单元;或归因于需要在存储器与标准单元之间的界面处提供分隔结构,诸如在集成电路布图的多晶硅层中可能需要的。
此低效空间使用对集成电路所需的总面积做出贡献,且大体上集成电路的面积越大,集成电路的制造所涉及的成本就越大。
随着更多存储器实例包括于集成电路中,随着个体存储器实例被制造得更小(其中浪费空间占与存储器实例相关联的总面积的比例变得更大)及/或随着现代数据处理是统中的制程几何形状缩小(因为通常随着制程的几何形状缩小,多晶硅层中的存储器实例与标准单元之间所需的分隔结构变得相对较大),此低效空间使用的成本影响变得更加明显。
因此,希望在布图将包含标准单元及由存储器编译器产生的至少一个存储器实例两者的情况中提供一种用于产生集成电路布图的改良技术。
发明内容
从第一方面看,本发明提供一种产生集成电路布图的方法,该布图包含定义集成电路的功能组件的标准单元及至少一个存储器实例两者,由存储器编译器产生该存储器实例以定义集成电路的存储器设备,该方法包含:向存储器编译器提供存储器架构,该存储器架构指定电路组件及数据的定义,该定义定义用于组合这些电路组件的规则以便产生符合存储器架构的存储器实例;接收指定所欲存储器实例的一个或多个特性的输入数据;使用存储器编译器以产生基于该输入数据的所欲存储器实例以使得所欲存储器实例符合该存储器架构;提供标准单元库,标准单元库内部的每一标准单元定义对应功能组件;在存储器编译器的操作的集成增强模式中,引起存储器编译器引用标准单元库的至少一个特性以便以一形式产生所欲存储器实例,该形式将在该所欲存储器实例被集成至布图中时减小与该所欲存储器实例与周围标准单元之间的边界相关联的面积开销(areaoverhead);以及通过用从该标准单元库中选择的标准单元填充以第一方向延伸的标准单元行来产生布图,以便提供集成电路所需的功能组件,并将由存储器编译器提供的所欲存储器实例集成至布图中。
根据本发明,存储器编译器具有操作的集成增强模式,在该模式中于产生所欲存储器实例之前存储器编译器引用标准单元库的至少一个特性。通过考虑到标准单元库的该至少一个特性,存储器编译器随后能够以一形式产生所欲存储器实例,该形式在该所欲存储器实例被集成至布图中时将减小与该所欲存储器实例与周围标准单元之间的边界相关联的面积开销。
标准单元库存在众多可由存储器编译器引用的特性。举例而言,在一个实施例中,标准单元库的标准单元的设计可为使得不允许标准单元与存储器实例的边缘之间存在自由空间但要求标准单元直接紧靠(abut)存储器实例的边缘。在该情况下,存储器编译器可考虑该特性以便以一形式产生所欲存储器实例,该形式将减小多晶硅层内部所需的多晶硅界面区域的宽度以分离相邻标准单元与所欲存储器实例。考虑另一实例,由存储器编译器引用的标准单元库的至少一个特性可为针对标准单元行所指定的高度,且通过考虑到该特性,可排列存储器编译器以一形式产生所欲存储器实例以使得将所欲存储器实例的宽度约束为行高的整数倍,从而移除原本可能存在的任何浪费空间。
尽管在编译器的操作期间可提供标准单元库的上文论及的特性作为对存储器编译器的输入,但是在替代实施例中,可理解此特性并将此特性设计至存储器编译器及标准单元库中,从而避免在操作期间需要对存储器编译器的任何此类输入。
操作的集成增强模式可为存储器编译器的操作的唯一模式,或可为存储器编译器可用的众多操作模式中的一者。
在一个实施例中,该方法进一步包含向存储器编译器提供操作的至少一个另外模式,在该模式中当产生所欲存储器实例时存储器编译器不引用标准单元库的该至少一个特性,导致当该所欲存储器实例被集成至布图中时,相较于在操作的该集成增强模式中操作存储器编译器时与该边界相关联的面积开销,此情况中与该边界相关联的该面积开销增加。通过提供操作的至少一个另外模式,可确保回溯兼容性,从而允许使用存储器编译器,(例如)在标准单元库的特性使得不允许存储器编译器使用操作的集成增强模式下使用存储器编译器。
在一个实施例中,布图包括多晶硅层,该多晶硅层包含以第二方向延伸穿过多晶硅层的多个多晶硅轨道,该第二方向垂直于标准单元行延伸的该第一方向。多晶硅层包括将所欲存储器实例与相邻标准单元分离的至少一个多晶硅界面区域,该至少一个多晶硅界面区域每一者提供以该第一方向延伸的分离距离。在操作的该集成增强模式中由存储器编译器引用的标准单元库的该至少一个特性可为一特性,该特性指示该标准单元库的标准单元的设计要求标准单元直接紧靠以该第二方向延伸的所欲存储器实例的边缘。若如此,在存储器编译器的操作的该集成增强模式中,存储器编译器以一形式产生所欲存储器实例,该形式在该所欲存储器实例被集成至布图中时将减小多晶硅界面区域的分离距离。
多晶硅界面区域可采取各种形式。然而,在一个实施例中,该至少一个多晶硅界面区域每一者包含以该第二方向延伸的虚设多晶硅轨道,且在存储器编译器的操作的该集成增强模式中,存储器编译器以一形式产生所欲存储器实例,该形式将减少多晶硅界面区域中虚设多晶硅轨道的数目。因此,在此类实施例中,由于已知标准单元库已约束标准单元的设计使得需要标准单元直接紧靠以第二方向延伸的所欲存储器实例的边缘,因此可减少存储器编译器需要与所欲存储器实例相关联地产生的虚设多晶硅轨道的数目,使得多晶硅界面区域的分离距离总体减小。
在一个特定实施例中,该至少一个多晶硅界面区域每一者包含与所欲存储器实例相关联的第一界面子区域及与标准单元相关联的第二界面子区域。指示该标准单元库的标准单元的设计要求标准单元直接紧靠以该第二方向延伸的所欲存储器实例的边缘的特性是标识于第二界面子区域中提供的虚设多晶硅轨道的数目减少的特性。在存储器编译器的操作的集成增强模式中,存储器编译器以一形式产生所欲存储器实例,相较于若在产生所欲存储器实例时存储器编译器不引用标准单元库的该至少一个特性的操作的至少一个另外模式中操作存储器编译器将提供的虚设多晶硅轨道,该形式在第一界面子区域中具有更少的虚设多晶硅轨道。因此,在此类配置中,通过在标准单元设计上施加约束,及当使用存储器编译器产生存储器实例时又考虑到该约束,可实现第一界面子区域及第二界面子区域两者中虚设多晶硅轨道的数目的减少,从而在集成电路布图中提供明显的面积节省。
虚设多晶硅轨道可采取各种形式。在一个实施例中,在操作的该至少一个另外模式中,第一界面子区域将包括至少一个支持虚设轨道及至少一个终止虚设轨道作为所述虚设多晶硅轨道,终止虚设轨道在该第一方向具有一厚度大于每一支持虚设轨道的厚度。然而,在存储器编译器的操作的该集成增强模式中,存储器编译器以一形式产生所欲存储器实例,在该形式中第一界面子区域不包括终止虚设轨道。移除终止虚设轨道的能力产生一些明显的空间节省。在一个特定实施例中,第二界面子区域亦不包括终止虚设轨道,因此进一步改良空间节省。
在很多布图中,与所欲存储器实例相关联的多晶硅层部分内部的多晶硅轨道之间距空间(亦称为「多晶间距」)不同于与标准单元相关联的多晶硅层的区段内部的多晶硅轨道的多晶间距。然而,在一个实施例中,将两个区段中的多晶间距排列成相同,且在该实施例中,当在操作的集成增强模式中操作存储器编译器时,能够以一形式产生所欲存储器实例,该形式将额外减少每一多晶硅界面区域中支持虚设轨道的数目。在一个特定实施例中,此类途径可导致每一多晶硅界面区域内部仅需要单个支持虚设轨道。
在沿标准单元行的长度部分配置存储器实例,从而将众多标准单元行分割成两个部分的实施例中,第一多晶硅界面区域将形成于所欲存储器实例的第一侧上及第二多晶硅界面区域将形成于所欲存储器实例的相对侧上,第一侧及相对侧两者以第二方向延伸。因此,在此类实施例中,可与两个多晶硅界面区域相关联实现上文论及的空间节省。
在一个特定实施例中,由于多晶硅轨道在存储器实例的设计内部延行的方向与多晶硅轨道在标准单元行内部延行的方向相比,存储器实例置放在布图内部之前转动90度,使得所欲存储器实例的第一侧形成存储器实例之顶部及相对侧形成存储器实例的底部。存储器实例内部的存储器组件的各行随后沿第二方向(亦即与标准单元行相切)且平行于多晶硅轨道延伸。
除考虑标准单元库的上文论及的特性的存储器编译器之外,或作为该存储器编译器的替代,存储器编译器可考虑由标准单元库所指定的行高。详言之,在一个实施例中,标准单元行具有以垂直于该第一方向的第二方向延伸的行高,由标准单元库定义该行高。在操作的该集成增强模式中由存储器编译器引用的标准单元库的至少一个特性可为该行高,并且在存储器编译器的操作的该集成增强模式中,存储器编译器以一形式产生所欲存储器实例,在该形式中将该第二方向上所欲存储器实例的宽度约束为行高的整数倍。
存在存储器编译器可约束所欲存储器实例的众多方式使得第二方向上的存储器实例宽度为行高的整数倍。在一个实施例中,存储器实例包含至少一个存储器阵列及耦合至每一存储器阵列的多个逻辑电路,且在操作的集成增强模式中,存储器编译器将第二方向上的每一存储器阵列的宽度约束为行高的整数倍。
在一个特定实施例中,由存储器阵列的每一行内部提供的存储器组件的数目指示第二方向上的每一存储器阵列的宽度,且在操作的集成增强模式中,存储器编译器约束每一存储器阵列的每一行内部提供的存储器组件的数目,以使得该第二方向上的每一存储器阵列的宽度为行高的该整数倍。
存在众多方式约束每一行内部提供的存储器组件的数目。举例而言,在一个实施例中,可约束待储存于存储器阵列内部的数据的可能字大小以便每一行内部提供的存储器组件的总数目确保第二方向上的存储器阵列的宽度为行高的整数倍。详言之,将约束每一行以储存预定字数,其中每一字包含多个位及其中每一位元储存于一存储器组件中。由于字大小的适宜约束,此举将约束每一行中的存储器组件的数目以使得该数目仅可按单元增加,从而确保存储器阵列的宽度等于行高的整数倍。类似地,可约束存储器阵列的多任务选项以确保每一行中的存储器组件的数目仅可按单元增长,将所述单元约束为行高的整数倍。举例而言,若存储器设计具有MUX-4配置,则每一行的长度每次仅可增加四个存储器组件;若存储器设备具有MUX-8配置,则每一行的长度每次仅可增加八个存储器组件等等。通过约束多任务配置及/或可能字大小,则可能确保诸行仅可按符合行高之倍数的增量增加。
或者或另外,存储器编译器可约束耦合至每一存储器阵列的多个逻辑电路的宽度,使得这些逻辑电路在第二方向上具有一宽度,该宽度被约束为行高的整数倍。
除存储器阵列及耦合至这些存储器阵列的相关逻辑电路之外,已知存储器实例包括边缘单元。在一个实施例中,在操作的集成增强模式中,存储器编译器选择该第二方向上的边缘单元的宽度以使得将该第二方向上的所欲存储器实例的宽度约束为行高的整数倍。代替用于将存储器实例的宽度约束为行高的整数倍之前述措施或除前述措施之外,可使用边缘单元宽度上的此约束。
从第二方面看,本发明提供一种储存存储器编译器计算机程序的储存介质,该计算机程序用于控制计算机自与存储器编译器计算机程序相关联的存储器架构产生所欲存储器实例,该存储器架构指定电路组件及数据的定义,该定义定义用于组合这些电路组件的规则,该存储器编译器计算机程序具有操作的集成增强模式,在该模式中执行产生集成电路布图的方法期间,布图包含定义集成电路的功能组件的标准单元及定义集成电路的存储器设备的至少一个存储器实例,配置存储器编译器计算机程序以引用定义所述标准单元的标准单元库的至少一个特性,以便以一形式产生所欲存储器实例,该形式在该所欲存储器实例被集成至布图中时将减小与该所欲存储器实例与周围标准单元之间的边界相关联的面积开销。在一个实施例中,储存介质可采取非暂时储存介质的形式。
附图说明
参考附图中所图示的实施例,将仅以举例方式进一步描述本发明,在附图中:
图1是示意地图示用于构造集成电路的层的图解;
图2A示意地图示在根据产生布图的现有技术集成电路布图的多晶硅层内部存储器实例可占据的面积;
图2B示意地图示在图2A的多晶硅层内部如何形成多晶硅轨道;
图3图示根据已知现有技术在图2A中所示的多晶硅界面区段内部所需要的终止多晶硅轨道及支持多晶硅轨道;
图4是示意地图示用于产生集成电路布图的一个实施例的布图产生是统的框图;
图5是图示根据一个实施例的图4的布图产生是统的操作的流程图;
图6A图示现有技术的邻接标准单元及图6B图标在一个实施例中所使用的对应邻接标准单元;
图7图示根据一个实施例的多晶硅界面区段内部所需的多晶硅轨道;
图8图示根据一个实施例将多晶硅层内部的标准单元区域与存储器实例区域分离所需的单个支持多晶硅轨道,在该实施例中存储器实例区域及标准单元区域两者的多晶间距相同;
图9示意地图示根据一个实施例由存储器编译器产生的存储器实例的配置;
图10示意地图示根据一个实施例当使用产生布图的方法时集成电路布图的多晶硅层内部存储器实例可占据的面积;以及
图11是根据上文所描述的实施例其上可执行适宜计算机程序以产生集成电路布图的计算机系统的图解。
具体实施方式
如图1中示意地图示,集成电路可由在诸如硅基板之类的基板上建立的多个层形成。详言之,在基板10中或基板10上形成一个或多个扩散区域15,在所述扩散区域15上方提供多晶硅层25。在多晶硅层内部形成多个多晶硅轨道20。使用图1的标注维度,这些轨道在X方向上延伸穿过多晶硅层25。
在多晶硅层上方提供金属1(M1)层35,在一些实施例中可通过一个或多个介入层30将M1层35与多晶硅层25分离。继而,通过一个或多个另外的介入层40将M1层35与金属2(M2)层45分离,可根据需要穿过介入层40建立通孔。当产生集成电路布图时,这些层的每层的布图将被建立。出于描述以下实施例的目的,将特别考虑多晶硅层25,且详言之,将讨论众多空间节省措施,在集成电路布图的产生期间可使用所述措施以降低在存储器实例与相邻标准单元之间的界面上会发生的面积低效。
在图2A中示意地图示此类面积低效。如图2A所示,提供在多晶硅层的Y方向上延伸的众多标准单元行105。在多晶硅层100内部,提供在X方向上延伸的多个多晶硅轨道。图2B示意地图示出了这些轨道。在与存储器实例110相关联的面积内,多晶硅轨道125大体上延伸跨越X方向上的存储器实例的整个宽度,在极端(extremity)处通常存在小之间隙以使得多晶硅轨道不延伸全宽。然而,取决于所使用的制程节点,界面上的这些多晶硅轨道可延伸整个宽度。另外,在一些情况下,在布图中将多晶硅轨道拉伸为全宽并且添加一额外层以指示应在何处切割它们。类似地,在每一标准单元行内部,提供多个多晶硅轨道130,亦在图2B中示意地图示出了这些多晶硅轨道。通常多晶硅轨道在X方向上延伸基本上行高107的距离,但是在每一末端处留下小间隙以使得标准单元行中的多晶硅轨道不邻接相邻标准单元行中的多晶硅轨道。然而,在该界面上,通常多晶硅轨道将延伸跨越每一标准单元行的全宽,以便在X方向形成一个或多个连续多晶硅轨道。在一些实施例中,可在布图中将多晶硅轨道拉伸为全宽,并且使用特定切割层以切割单元的顶部及底部上(不是与存储器实例的界面上)的多晶硅轨道。
如将参照图3将更详细地讨论的,多晶硅轨道的实际位置及在存储器实例区域与标准单元区域之间界面上的这些多晶硅轨道的形式可以变化。然而,如图2A中图示的面积115示意地图标,在存储器实例110与标准单元区域之间需要多晶硅界面区段(也称为终止面积),在该标准单元区域中可实例化体现有用功能组件的标准单元。多晶硅界面区段115包含与存储器实例相关联的第一子区域115a及与标准单元相关联的第二子区域115b。如图3中的实例所示,第一子区域115a通常包括众多终止多晶硅轨道及支持多晶硅轨道。在图3的实例中,在与存储器实例相关联的第一子区域115a内部提供单个终止多晶硅轨道175及两个支持多晶硅轨道180、185。又由适合于存储器实例的多晶间距距离将第一主动多晶硅轨道190与支持多晶硅轨道185分离(在一个特定实施例中此多晶间距为90nm)。在图3中,仅图示在长度上对应于标准单元行高的所述各种轨道175、180、185、190的部分。
在与标准单元相关联的子区域115b内部,邻接标准单元150可用于提供适合于该子区域的所需终止多晶硅轨道及支持多晶硅轨道。在图3所示的实例中,提供单个终止多晶硅轨道155以及两个支持多晶硅轨道160、165。随后可紧靠邻接标准单元150置放定义标准单元行的第一有用功能组件的标准单元,且该标准单元将包括第一主动多晶硅轨道170,通过可适用于所使用的标准单元设计的多晶间距距离分离轨道170与支持多晶硅轨道165(在图3的实例中此多晶间距为78nm)。
根据图3中所示的现有技术途径,对于必须直接紧靠存储器组件实例置放标准单元不存在约束,且甚至对于标准单元行中的至少一些,在存储器实例的边缘与该标准单元行内部置放的第一标准单元之间可存在间隙(通常该第一标准单元是邻接标准单元)。
图3中示意地所示的各种终止多晶硅轨道及支持多晶硅轨道导致多晶硅界面区段115在Y方向具有明显宽度。如图2A所示,通常在存储器实例的两侧上需要此类多晶硅界面区段(假定将要在两侧上置放标准单元),及集成电路设计内部实例化的每一存储器实例将需要此类区段。因此,多晶硅界面区段的存在可引起集成电路内部的较大的面积需求。
进一步,X方向的存储器实例的宽度将取决于存储器编译器用以产生该存储器实例的存储器架构,且提供给存储器编译器的输入数据指定该存储器实例的所欲特性。根据现有技术,X方向的存储器实例的宽度将与标准单元行高107无关联,且因此可引起图2A中所示的浪费空间120(通常此浪费空间存在于存储器实例的两侧上)。详言之,此浪费空间在X方向具有不足以容纳标准单元的宽度,且因此保持未使用状态。此浪费空间的大小将取决于实施例变化,但是将与集成电路布图内部提供的每一存储器实例相关联地存在。纯粹出于提供具体实例的目的,考虑特定制程节点,9轨道库的标准单元行高为576nm。由制造厂提供的存储器实例的位元组件设计通常不与库高度对齐,且因此存储器实例宽度与标准单元行高无关。在最坏情况中,若存储器实例宽度为576x n+1nm,则此宽度导致存储器实例边界处575nm的不可配置放标准单元的浪费空间。
图4是示意地图示经排列以产生集成电路布图的一个实施例的系统的框图,在该布图中与存储器实例与周围标准单元之间的边界相关联的面积开销相对于参照图2A于上文所描述的面积开销减小了。如图4所示,存储器编译器215具有存储器架构210,该存储器架构指定电路组件及数据的定义,该定义定义用于组合这些电路组件的规则以便产生符合存储器架构的存储器实例。随后将所欲存储器实例的特性输入存储器编译器215,通常经由存储器编译器的图形用户界面(graphical user interface;GUI)实施输入。如熟习此项技术者应将了解,可由各种输入参数指定所欲存储器实例的特性,这些输入参数(例如)定义存储器阵列的大小、存储器阵列的多任务配置、各种可选特征的选择(诸如功率闸控特征、待支持的内建式自检(built-in-self-test;BIST)模式等)。
存储器编译器215随后基于输入参数及存储器架构210产生所需存储器实例。根据所描述的实施例,可经由路径217选择集成增强模式,该模式引起存储器编译器在产生存储器实例时引用标准单元库的特性。路径219示意地图标将该标准单元库特性提供给存储器编译器,该存储器编译器已考虑在集成电路布图的产生期间通过置放与布线工具220使用的已选择标准单元库205。尽管在图4的系统的操作期间可将标准单元库的此特性动态地提供给存储器编译器,但是在一个实施例中,当设计存储器编译器时将该特性静态地提供给存储器编译器,且因此在操作期间标准单元库205与存储器编译器215之间将不主动存在连结。
进一步,尽管在图4中假定可由路径217选择集成增强模式,但是在替代实施例中,可配置存储器编译器总是在操作的集成增强模式中操作,从而排除对路径217上模式选择讯号的需要。
置放与布线工具220具有指定所计划集成电路的功能设计的逻辑表示200。在一个实施例中,此表示可采取闸水平网络列表的形式,不过在替代实施例中,此表示可采取诸如可由Verilog模型提供的设计的寄存器传送水平(RTL)较高水平表示的形式。另外,置放与布线工具200具有提供标准单元的集合的标准单元库205,所述标准单元定义功能组件及是用于根据功能设计组合集成电路布图的构建区块。另外,置放与布线工具220将接收由存储器编译器215产生的任何存储器实例用于包含在布图内部。
置放与布线工具220随后通过用从标准单元库205中选择的标准单元填充标准单元行来产生集成电路布图,以便提供如逻辑表示200所指定的集成电路所需的功能组件,及进一步将由存储器编译器215提供的所欲存储器实例集成至布图中。如参照剩余图式将于后文更详细地讨论,当在操作的集成增强模式中操作存储器编译器时,产生的存储器实例将具有一形式,该形式在通过置放与布线工具220将这些存储器实例集成至布图中时将减小与每一存储器实例与周围标准单元之间的边界相关联的面积开销。详言之,返回参照的前的图2A,每一所欲存储器实例可具有减小Y方向的多晶硅界面区段的宽度的形式,从而减小面积开销。另外或替代地,所产生的存储器实例可具有移除浪费空间120的X方向的宽度,此移除通过确保X方向的存储器实例的宽度对齐至标准单元库高度107的公倍数。
图5是示意地图示根据一个实施例的图4的布图产生系统的操作的流程图。在步骤300处,储存标准单元库205及存储器架构210,分别用于供置放与布线工具220及存储器编译器215存取。在步骤305处,随后例如通过自储存装置读取该模型获得SoC200的Verilog模型。进一步,在步骤310处例如经由存储器编译器215的GUI输入这些输入参数获得指定每一所需存储器实例的特性的输入参数。
在步骤315处,决定标准单元库的特性是否支持使用集成增强模式。举例而言,可设计存储器编译器,以便若使用众多已知标准单元库中的一者,则该存储器编译器可支持集成增强模式。若标准单元库205是这些特定标准单元库中的一者,则支持集成增强模式,否则不支持。若不支持集成增强模式,则制程行进至步骤330,在步骤330处在操作的正常模式中操作存储器编译器以产生用于转发至置放与布线工具220的每一所需存储器实例,在操作的此正常模式期间当产生存储器实例时存储器编译器不考虑标准单元库的特性,且因此当产生存储器实例时存储器编译器不采取面积开销减小措施。
然而,若标准单元库的特性支持使用集成增强模式,则在步骤320处决定是否已选择集成增强模式。若未选择,则制程行进至步骤330,否则制程行进至步骤325,在步骤325处操作存储器编译器以考虑标准单元库的一个或多个特性产生每一所需存储器实例,以便当该所欲存储器实例被集成至布图中时减小与每一所欲存储器实例与周围标准单元之间的边界相关联的面积开销。
在步骤335处,置放与布线工具220随后使用Verilog模型200、标准单元库205及任何自存储器编译器215产生的存储器实例执行置放与布线操作,以便在步骤340处输出集成电路布图。此产生的集成电路布图的输出可采取各种形式,但是通常将该输出记录为计算机可读取媒体上的布图数据。
视情况,且在图5中未图标,布图数据输出可在步骤340处经历标准验证测试,以确保置放与布线制程未引入任何意外异常。如虚线框345所示,制程可以随后根据步骤340处的布图输出继续制造集成电路。
如参照图3在上文所讨论,提供存储器实例与相邻标准单元之间的边界的多晶硅界面区段包括众多虚设多晶硅轨道,所述虚设多晶硅轨道包括支持多晶硅轨道及终止多晶硅轨道两者。在已知现有技术中使用诸如图6A中所图示的这些邻接标准单元,以形成多晶硅界面区段115的一部分及以有效地将第一主动标准单元与存储器实例分离。如图6A所示,邻接组件350包括终止多晶硅轨道360及两个支持多晶硅轨道,且因此采取参照图3在上文所说明的形式。然而,在替代实施例中,邻接标准单元可采取不同的形式。详言之,尽管邻接组件通常将包括一个相对较厚的终止多晶硅轨道,但支持多晶硅轨道的数目可变化。因此,举例而言,邻接标准单元355含有一个终止多晶硅轨道360及三个支持多晶硅轨道365。
亦如参照图3在上文所讨论,通常由存储器编译器产生的存储器实例将包括至少一个相对较厚的终止多晶硅轨道,外加众多支持多晶硅轨道,以有效将由存储器实例所使用的第一主动多晶硅轨道与具有标准单元区域的边界分离。通过皆在存储器实例内部及邻接标准单元内部提供终止多晶硅轨道及众多支持多晶硅轨道两者,此举提供关于如何相对于与存储器界面的边界配置标准单元的灵活性。尽管在第3图的实例中,直接紧靠边界置放邻接标准单元,但或者用于形成存储器实例的置放与布线工具可提供行存储器储器实例的边缘与第一标准单元之间的实际间隙。在一些情况中,只要皆在存储器实例内部及标准单元区域内部提供上文论及的终止多晶硅轨道及支持多晶硅轨道,便可使用这些间隙代替邻接标准单元,从而提供在存储器实例及标准单元区域两者中主动多晶硅轨道之间的大间隙。关于如何使用直接相邻存储器实例的边界的区域的此灵活性是为何设计规则检查(design rule checking;DRC)规则通常要求存储器编译器产生存储器实例的边缘上具有终止多晶硅轨道175的存储器实例的理由中的一者。详言之,通过在存储器实例的边界上提供此终止多晶硅轨道,可确保可正确形成及正确操作存储器设备,无关于相邻标准单元区域是含有开放空间还是具有标准单元非常接近存储器边界,关于相对于存储器边界的多晶硅轨道空间,所述情况不对施加任何限制。
然而,根据一个实施例,可设计标准单元库使得需要该库的标准单元直接紧靠存储器实例的边缘邻接,而不容许存在自由空间。随后可提供一是列邻接标准单元用作直接紧靠存储器实例邻接的组件。由于使用此类邻接组件及存储器实例与相邻标准单元区域之间不允许存在开放空间的要求,不再需要相对较厚的终止多晶硅轨道,且因此若在标准单元置放上施加此类约束,则图6B中所示的标准单元370、375图标可用作图6A的标准单元350、355的替换标准单元。
若标准单元库提供此类约束,则在一个实施例中可在集成增强模式中操作存储器编译器,在该模式中于标准单元库内部存在约束的事实是用于减小与存储器实例相关联的多晶硅界面子区域的厚度。详言之,在该情况下亦可能避免对界面的该区域内部的终止多晶硅轨道175的需要。因此,图7图标当在集成增强模式中操作存储器编译器时可在存储器实例区域与标准单元区域之间使用的多晶硅界面区段的形式。如图7所示,所使用的邻接标准单元400含有两个支持多晶硅轨道405,但是不包含终止多晶硅轨道。需要在与存储器实例相交的每一标准单元行中使得邻接标准单元直接紧靠存储器的界面邻接。
由于此要求,存储器实例不再需要终止多晶硅轨道,且因此在此实施例中,只需要在与存储器实例相关联的多晶硅界面区段的子区域内部提供两个支持多晶硅轨道415。随后可紧接离存储器边界最远的支持多晶硅轨道415放置存储器实例内部的第一主动多晶硅轨道420,通过适合于存储器实例的多晶间距定义主动多晶硅轨道与支持多晶硅轨道的分离。类似地,在标准单元区域内部,可邻接离边界最远的支持多晶硅轨道405再次以适合于标准单元区域的多晶间距距离置放第一主动多晶硅轨道410。因此,支持多晶硅轨道405、415提供多晶间距过渡区域以确保存储器实例区域及标准单元区域两者内部的多晶硅轨道的正确形成。
通过比较图7与图3,将看到多晶硅界面区段的Y方向的总宽度可能明显减小,因为目前多晶硅界面区段仅需要存储器子区域中的两个支持多晶硅轨道及标准单元子区域中的两个支持多晶硅轨道,避免需要两个终止多晶硅轨道及这些终止多晶硅轨道与存储器边界之间及这些终止多晶硅轨道与第一相邻支持多晶硅轨道之间本将需要的相关分离距离。
纯粹举例而言,在图3的一个实施例中,存储器实例边界与最远支持多晶硅轨道185之间的距离A为560nm,该距离中的380nm由终止多晶硅轨道及该轨道与边界之间及该轨道与支持多晶硅轨道180之间的相关间隙占据。相比的下,在图7中,由于存储器编译器能够利用标准单元置放上所施加的约束,尤其是标准单元必须直接紧靠存储器实例的边缘邻接的要求,等效距离B减小至180nm。如自图2A的上文实例将显而易见,在典型情况下,多晶硅界面区段的此面积损失存在于存储器实例的顶部及底部两者,且因此通过采用图7的配置而非图3的配置,该对多晶硅界面区段中的宽度减小约为760nm。
另外,此途径亦通过消除对终止多晶硅轨道155的需要来减小标准单元中的面积开销需求,且因此若存储器及标准单元两者皆按此方式设计,则存储器及标准单元可无缝配合在一起及为SoC水平设计提供减小的面积。
尽管已相关于存储器区域与标准单元区域之间的界面描述上文面积节省,但是在希望该紧靠邻接两个存储器实例的情况下亦可实现相同的空间节省。
在一个实施例中,存储器编译器亦能够与未提供上文论及的约束的标准单元库一起操作,且因此不要求标准单元直接紧靠存储器实例的边缘邻接。在该情况下,存储器编译器将不在集成增强模式中操作,而是将产生具有的前参照图3示意地图标的形式的存储器边缘单元,所述组件包括终止多晶硅轨道175及众多支持多晶硅轨道180、185两者,从而提供存储器编译器的回溯兼容性以便与不支持集成增强模式的使用的标准单元库一起使用。
若可使得存储器实例区域中的多晶间距与标准单元区域中的多晶间距相同,则在操作的集成增强模式中可通过进一步减小多晶硅界面区段的宽度来实现进一步面积减小。详言之,如图8所示,在此类情况下,标准单元区域中的第一主动多晶硅轨道410仅需要通过边界上所提供的单个支持多晶硅轨道425来与存储器实例区域中的第一主动轨道420分离。在此类情况下不需要邻接标准单元。因此,在标准单元库需要标准单元直接紧靠存储器实例的边缘邻接及标准单元的多晶间距匹配存储器实例内部所使用的多晶间距的该间距的情况下,存储器编译器又可产生存储器实例,当在集成电路布图内部集成这些存储器实例时,所述存储器实例进一步减小多晶硅界面区段的宽度。
图9图标可由存储器编译器产生的存储器实例450的实例配置,该存储器实例旋转90°以便置放在参照图2A在上文所讨论的形式的集成电路布图内部。在此实例中,提供四个存储器阵列465,每一阵列包含以行与列排列的存储器组件的矩阵。提供字符线驱动器电路系统470用于使得每一阵列内部的特定行能够经由字线而被寻址,所述字线以行的方向延行穿过每一阵列。将理解,位线将与存储器组件的每一列相关联,以及列多路复用器及感测放大器电路系统475将与这些列的各者相关联,以通过控制相关位在线的值允许将数据写入行的寻址存储器组件或以通过评估相关位元在线的电压允许在读取操作期间读取这些寻址存储器组件中保存的数据值。进一步,提供控制电路480用于控制各种字符线驱动器470、列多路复用器及感测放大器475等等的操作。亦提供输出数据路径485用于输出自阵列465的寻址存储器组件中读取的数据。通常,将围绕每一阵列465的读取边缘置放边缘单元490以分离阵列与周围电路系统。另外,可使用类似边缘单元495以分离存储器的组件与实际存储器边界。
如从图9可见,阵列的行在X方向延行及列在Y方向延行,在集成电路内部置放的前已将存储器实例旋转90°,以便存储器实例的顶部455形成一个具有相关多晶硅界面区段的边界及存储器实例的底部460形成另一具有相关多晶硅界面区段的边界。然而,如图10所示,由于使用上文所讨论的存储器编译器的操作的集成增强模式时空间节省措施可用,当与已知现有技术途径的等效多晶硅界面区段115的宽度相比时,多晶硅界面区段515的宽度可明显减小,原因在于与存储器实例相关联的子区域515a及与标准单元相关联的子区域515b两者的宽度皆减小。
此外,根据一个实施例,可排列存储器编译器以另外或替代地约束存储器实例,以便在X方向的存储器实例的宽度为标准单元行高507的整数倍,从而避免图2A的上文实例中所示的浪费空间120。
在SoC水平上,标准单元行的置放限于标准单元库所指定的行高。举例而言,针对特定制程节点,九轨道组件库的标准单元行高为576nm。通常,由制造厂提供的用于产生存储器实例的存储器阵列的位组件不与标准单元行高对齐,且因此图9中所示的存储器实例宽度497与标准单元行高无关。当在SoC的布图内部包含存储器实例时,此情况可引起明显的浪费空间。随着典型SoC上的存储器实例的数目继续增加,此浪费空间在集成电路的总面积上具有越来越多的显著效应。根据一个实施例,当在操作的集成增强模式中时,存储器编译器在设计存储器实例时将标准单元的行高考虑在内,以便产生宽度497为标准单元行高的整数倍的存储器实例。可以众多方式实现此目标。举例而言,在一个实施例中,可设计用以组成存储器阵列的个别位组件以便当所述位组件形成于存储器阵列的行中时,这些行符合标准单元行高的倍数。另外,可设计用以形成字线驱动器电路及/或边缘单元的个别组件以便符合标准单元行高的倍数,以使得总存储器实例宽度497对齐至标准单元行高栅格。举例而言,尽管边缘单元通常将必须具有最小宽度以便实现所欲隔离特性,但若需要可使得这些边缘单元更宽以便确保存储器实例的总宽度497为标准单元行高的倍数。
对于支持mux4、mux8及mux16作为多路复用器选项的存储器编译器,则当字宽以一个位增量增加时,存储器实例宽度(至少)以位组件宽度的四倍的倍数增加。因此,若约束标准单元行高为位组件宽度的四倍,则可设计存储器编译器以产生总存储器组件宽度497为标准单元行高507的整数倍的实例,从而当该存储器实例被集成至集成电路布图时避免任何浪费空间120。除关于多任务选项约束阵列的行大小增加的方式的外,亦可约束可增加字宽的数量。举例而言,不是允许字宽以一个位增量增加,而是可约束字宽仅以用两个位增量或四个位增量增加。
图11示意地图标通用计算机600,该通用计算机600可用于实施上述存储器编译器操作及置放与布线操作以便产生集成电路布图的类型。通用计算机600包括中央处理单元602、随机存取存储器604、只读存储器606、网络适配卡608、硬盘驱动机610、显示驱动器612与监视器614及具有键盘618及鼠标620全部经由总总线邻接的用户输入/输出电路616。在操作中,中央处理单元602将执行计算机程序指令,可在随机存取存储器604、只读存储器606及硬盘610的一个或更多个中储存所述指令或可经由网络适配卡608动态下载所述指令。可经由显示驱动器612及监视器614向用户显示处理执行的结果。可经由用户输入/输出电路616自键盘618或鼠标620接收用于控制通用计算机600的操作的用户输入(且因此(例如)可以经由此机制输入用于决定所需存储器实例的某些特性的输入参数)。应将了解,可以各种不同计算机语言书写计算机程序。可在记录媒体上储存及分布计算机程序或将计算机程序动态下载至通用计算机600。当在适宜计算机程序的控制下操作时,通用计算机600可执行上述存储器编译器操作以便产生所欲存储器实例,并且甚至可执行用于产生集成电路布图的置放与布线操作包含此类所欲存储器实例,且因此可认为该通用计算机形成用于执行上述操作的设备。通用计算机600的架构可存在相当多的变化并且图11仅为示例。
自上述实施例,应将了解,当存储器实例被集成至SoC的布图中时,此类实施例能够使得由存储器实例与相邻标准单元之间的边界引起的总面积开销明显减小。根据一个实施例,改变存储器实例的顶部及底部上的多晶界面以当将存储器实例及标准单元在SoC水平集成在一起时减小不必要的开销,尤其是在标准单元库约束标准单元以要求标准单元直接紧靠存储器实例的边缘邻接的情况下如此。或者或另外,将存储器实例的宽度约束对齐至标准单元库高度的公倍数。在一个实施例中,可通过要求行的位组件对齐至库行高的一些倍数及字线驱动器信道及存储器边缘单元的总宽度对齐至库行高的倍数来实现此目标。
尽管本文已描述特定实施例,但应了解,本发明并不受限于此且可在本发明的范围内对所述实施例执行许多修改及添加。举例而言,可在不脱离本发明的范围的情况下由独立权利要求的特征产生所附权利要求的特征的各种组合。

Claims (16)

1.一种产生集成电路布图的方法,所述布图包含标准单元及至少一个存储器实例,所述标准单元定义所述集成电路的功能组件,所述至少一个存储器实例由存储器编译器产生以定义所述集成电路的存储器设备,该方法包含以下步骤:
向所述存储器编译器提供指定了电路元件和数据的定义的存储器架构,所述定义定义了用于组合这些电路元以便产生符合所述存储器架构的存储器实例的规则;
接收指定了所欲存储器实例的一个或多个特性的输入数据;
使用所述存储器编译器以基于所述输入数据产生所述所欲存储器实例,以使得所述所欲存储器实例符合所述存储器架构;
提供标准单元库,所述标准单元库内的每个标准单元定义了相应的功能组件;
在所述存储器编译器的集成增强操作模式中,使得所述存储器编译器引用所述标准单元库的至少一个特性以便以如下形式产生所述所欲存储器实例,所述形式在所述所欲存储器实例被集成到所述布图中时将减小与所述所欲存储器实例和周围标准单元之间的边界相关联的面积开销;以及
通过利用从所述标准单元库中选择的标准单元填充在第一方向上延伸的标准单元行以便提供所述集成电路所需的所述功能组件来产生所述布图,并将由所述存储器编译器提供的所述所欲存储器实例集成至所述布图中。
2.如权利要求1所述的方法,还包括以下步骤:
向所述存储器编译器提供至少一个另外的操作模式,在所述模式中当产生所述所欲存储器实例时,所述存储器编译器不引用所述标准单元库的所述至少一个特性,与在所述集成增强操作模式中所述存储器编译器被操作时与所述边界相关联的面积开销相比,这将导致当所述所欲存储器实例被集成至所述布图中时与所述边界相关联的面积开销增加。
3.如权利要求1所述的方法,其中:
所述布图包括多晶硅层,所述多晶硅层包含在第二方向延伸穿过所述多晶硅层的多个多晶硅轨道,所述第二方向垂直于所述第一方向;
所述多晶硅层包括将所述所欲存储器实例与相邻标准单元分离的至少一个多晶硅界面区域,每个所述至少一个多晶硅界面区域提供在所述第一方向延伸的分离距离;
在所述集成增强操作模式中由所述存储器编译器引用的所述标准单元库的所述至少一个特性是指示所述标准单元库的标准单元的设计要求标准单元直接紧靠在所述第二方向延伸的所述所欲存储器实例的边缘的特性;以及
在所述存储器编译器的所述集成增强操作模式中,所述存储器编译器以如下形式产生所述所欲存储器实例,所述形式在所述所欲存储器实例被集成至所述布图中时将减小所述多晶硅界面区域的分离距离。
4.如权利要求3所述的方法,其中:
每个所述至少一个多晶硅界面区域包含在所述第二方向延伸的虚设多晶硅轨道;以及
在所述存储器编译器的所述集成增强操作模式中,所述存储器编译器以如下形式产生所述所欲存储器实例,所述形式将减少所述多晶硅界面区域中虚设多晶硅轨道的数目。
5.如权利要求4所述的方法,其中:
每个所述至少一个多晶硅界面区域包含与所述所欲存储器实例相关联的第一界面子区域和与标准单元相关联的第二界面子区域;
指示所述标准单元库的标准单元的设计要求标准单元直接紧靠在所述第二方向延伸的所述所欲存储器实例的边缘的特性是:标识在所述第二界面子区域中提供的虚设多晶硅轨道的数目的减少的特性;以及
在所述存储器编译器的所述集成增强操作模式中,所述存储器编译器以如下形式产生所述所欲存储器实例,并且与如果在产生所述所欲存储器实例时所述存储器编译器不引用所述标准单元库的所述至少一个特性的至少一个另外的操作模式中所述存储器编译器被操作时将提供的虚设多晶硅轨道相比,上述形式在所述第一界面子区域中具有较少的虚设多晶硅轨道。
6.如权利要求5所述的方法,其中:
在所述至少一个另外的操作模式中,所述第一界面子区域将包括至少一个支持虚设轨道及至少一个终止虚设轨道来作为所述虚设多晶硅轨道,所述终止虚设轨道在所述第一方向具有比每个支持虚设轨道的厚度大的厚度;以及
在所述存储器编译器的所述集成增强操作模式中,所述存储器编译器以如下形式产生所述所欲存储器实例,在所述形式中所述第一界面子区域不包括终止虚设轨道。
7.如权利要求6所述的方法,其中所述第二界面子区域也不包括终止虚设轨道。
8.如权利要求6所述的方法,其中:
所述多晶硅层的与所述所欲存储器实例相关联的区段内的多晶硅轨道的所述第一方向上的节距间距,与所述多晶硅层的与所述标准单元相关联的另外区段内的多晶硅轨道的所述第一方向上的所述节距间距相同;以及
在所述存储器编译器的所述集成增强操作模式中,所述存储器编译器以如下形式产生所述所欲存储器实例:所述形式将另外地减少每个所述至少一个多晶硅界面区域中的支持虚设轨道的数目。
9.如权利要求8所述的方法,其中在所述存储器编译器的所述集成增强操作模式中,所述存储器编译器以如下形式产生所述所欲存储器实例:所述形式导致每个所述至少一个多晶硅界面区域内的单个支持虚设轨道。
10.如权利要求3所述的方法,其中所述至少一个多晶硅界面区域包含在所述所欲存储器实例的第一侧上的第一多晶硅界面区域,以及在所述所欲存储器实例的相对侧上的第二多晶硅界面区域,所述第一侧及所述相对侧都在所述第二方向延伸。
11.如权利要求1所述的方法,其中:
所述标准单元行具有在垂直于所述第一方向的第二方向上延伸的行高,所述行高由所述标准单元库定义;
在所述集成增强操作模式中由所述存储器编译器引用的所述标准单元库的所述至少一个特性是所述行高;以及
在所述存储器编译器的所述集成增强操作模式中,所述存储器编译器以如下形式产生所述所欲存储器实例,在所述形式中将所述第二方向上的所述所欲存储器实例的宽度约束为所述行高的整数倍。
12.如权利要求11所述的方法,其中:
所述存储器实例包含至少一个存储器阵列和耦合至所述至少一个存储器阵列的多个逻辑电路;
在所述存储器编译器的所述集成增强操作模式中,所述存储器编译器将所述第二方向上的所述至少一个存储器阵列的宽度约束为所述行高的整数倍。
13.如权利要求12所述的方法,其中:
所述第二方向上的每个所述至少一个存储器阵列的宽度由所述存储器阵列的每行内所提供的存储器单元的数目来指定;以及
在所述存储器编译器的所述集成增强操作模式中,所述存储器编译器约束每个所述至少一个存储器阵列的每行内所提供的存储器单元的数目,以使得所述第二方向上的每个所述至少一个存储器阵列的所述宽度为所述行高的所述整数倍。
14.如权利要求11所述的方法,其中:
所述存储器实例包含至少一个存储器阵列以及耦合至所述至少一个存储器阵列的多个逻辑电路;
在所述存储器编译器的所述集成增强操作模式中,所述存储器编译器将所述第二方向上的所述多个逻辑电路的宽度约束为所述行高的整数倍。
15.如权利要求11所述的方法,其中:
所述存储器实例包含至少一个存储器阵列、耦合至所述至少一个存储器阵列的多个逻辑电路以及多个边缘单元;
在所述存储器编译器的所述集成增强操作模式中,所述存储器编译器选择所述第二方向上的所述边缘单元的宽度以使得所述第二方向上的所述所欲存储器实例的宽度被约束为所述行高的整数倍。
16.一种储存存储器编译器计算机程序的储存介质,所述存储器编译器计算机程序用于控制计算机从与所述存储器编译器计算机程序相关联的存储器架构产生所欲存储器实例,所述存储器架构指定电路元件及数据的定义,所述定义定义了用于组合这些电路元件的规则,所述存储器编译器计算机程序具有集成增强操作模式,在所述模式中在执行产生集成电路布图的方法期间,其中所述布图包含定义所述集成电路的功能组件的标准单元以及定义所述集成电路的存储器设备的至少一个存储器实例,所述存储器编译器计算机程序被配置为引用定义了所述标准单元的标准单元库的至少一个特性,以便以如下形式产生所述所欲存储器实例:所述形式在所述所欲存储器实例被集成至所述布图中时,将减小与所述所欲存储器实例和周围标准单元之间的边界相关联的面积开销。
CN201310499953.2A 2012-10-23 2013-10-22 产生包含标准单元及存储器实例的集成电路布图的方法 Active CN103778273B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/658,072 US8645893B1 (en) 2012-10-23 2012-10-23 Method of generating a layout of an integrated circuit comprising both standard cells and at least one memory instance
US13/658,072 2012-10-23

Publications (2)

Publication Number Publication Date
CN103778273A true CN103778273A (zh) 2014-05-07
CN103778273B CN103778273B (zh) 2017-09-22

Family

ID=50001846

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310499953.2A Active CN103778273B (zh) 2012-10-23 2013-10-22 产生包含标准单元及存储器实例的集成电路布图的方法

Country Status (4)

Country Link
US (2) US8645893B1 (zh)
KR (1) KR101724261B1 (zh)
CN (1) CN103778273B (zh)
TW (1) TWI575393B (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104899364A (zh) * 2015-05-27 2015-09-09 上海华力微电子有限公司 一种用于器件建模的标准单元选择方法
CN105322943A (zh) * 2014-06-03 2016-02-10 Arm有限公司 具有接口电路的集成电路、和用于接口电路的接口单元
CN106055726A (zh) * 2015-04-08 2016-10-26 联发科技股份有限公司 集成电路中的单元布局
CN108363845A (zh) * 2017-01-27 2018-08-03 Arm有限公司 电网愈合技术
CN109426695A (zh) * 2017-08-30 2019-03-05 Arm有限公司 集成电路设计和/或制造
CN109426693A (zh) * 2017-08-30 2019-03-05 台湾积体电路制造股份有限公司 开发电子器件的架构设计和制造电子器件的系统及方法
CN111177996A (zh) * 2020-01-02 2020-05-19 天津飞腾信息技术有限公司 一种优化集成电路可制造性的特殊图形规避方法及装置
CN111599803A (zh) * 2019-02-21 2020-08-28 台湾积体电路制造股份有限公司 集成电路结构及其制造方法
CN114707443A (zh) * 2022-05-23 2022-07-05 北京芯愿景软件技术股份有限公司 基本单元库简化方法及装置

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9003349B1 (en) 2013-06-28 2015-04-07 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing a physical electronic design with area-bounded tracks
US9117052B1 (en) 2012-04-12 2015-08-25 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for interactively implementing physical electronic designs with track patterns
US9251299B1 (en) 2013-06-28 2016-02-02 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for associating track patterns with rules for electronic designs
US8984465B1 (en) 2013-06-28 2015-03-17 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for automatically assigning track patterns to regions for physical implementation of an electronic design
US9104830B1 (en) 2013-06-28 2015-08-11 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for assigning track patterns to regions of an electronic design
US9213793B1 (en) 2012-08-31 2015-12-15 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing electronic designs using flexible routing tracks
US20140167815A1 (en) * 2012-12-18 2014-06-19 Broadcom Corporation Area reconfigurable cells of a standard cell library
US9165103B1 (en) 2013-06-28 2015-10-20 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for tessellating and labeling routing space for routing electronic designs
US9575891B2 (en) 2014-06-17 2017-02-21 Advanced Micro Devices, Inc. Sidecar SRAM for high granularity in floor plan aspect ratio
US9324392B1 (en) * 2014-10-23 2016-04-26 Arm Limited Memory device and method of performing a write operation in a memory device
KR102257031B1 (ko) * 2015-03-13 2021-05-27 삼성전자주식회사 반도체 집적 회로 설계 방법
US9984194B2 (en) * 2015-09-15 2018-05-29 Arm Limited Integrated circuit design
KR102333446B1 (ko) 2015-11-09 2021-11-30 삼성전자주식회사 반도체 장치 및 반도체 시스템
US10114918B2 (en) 2016-01-27 2018-10-30 Arm Limited Physical placement control for an integrated circuit based on state bounds file
US10579771B2 (en) * 2017-06-14 2020-03-03 Samsung Electronics Co., Ltd. Integrated circuit including standard cells overlapping each other and method of generating layout of the integrated circuit
DE102017127276A1 (de) 2017-08-30 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Standardzellen und abwandlungen davon innerhalb einer standardzellenbibliothek
CN113745212A (zh) * 2020-05-29 2021-12-03 泉芯集成电路制造(济南)有限公司 集成电路及布局集成电路的方法
US11755808B2 (en) * 2020-07-10 2023-09-12 Taiwan Semiconductor Manufacturing Company Limited Mixed poly pitch design solution for power trim
US11437316B2 (en) 2020-09-24 2022-09-06 Advanced Micro Devices, Inc. Folded cell layout for 6T SRAM cell
US11710698B2 (en) 2020-09-24 2023-07-25 Advanced Micro Devices, Inc. Dual-track bitline scheme for 6T SRAM cells
US11881393B2 (en) 2021-09-29 2024-01-23 Advanced Micro Devices, Inc. Cross field effect transistor library cell architecture design
US11778803B2 (en) 2021-09-29 2023-10-03 Advanced Micro Devices, Inc. Cross FET SRAM cell layout
US12008237B2 (en) 2022-04-19 2024-06-11 Advanced Micro Devices, Inc. Memory bit cell with homogeneous layout pattern of base layers for high density memory macros
WO2024112505A1 (en) * 2022-11-21 2024-05-30 X Development Llc Auto-creation of custom standard cells
US20240281584A1 (en) * 2023-02-16 2024-08-22 Applied Materials, Inc. Physical layout synthesis for standard cells using slice layouts

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1523662A (zh) * 2003-09-12 2004-08-25 清华大学 用去耦合电容实现集成电路供电网络噪声优化的快速方法
CN1794459A (zh) * 2004-12-20 2006-06-28 松下电器产业株式会社 单元、标准单元、标准单元库、使用标准单元的布局方法和半导体集成电路
CN1804849A (zh) * 2006-01-19 2006-07-19 复旦大学 多时钟系统的平面布图规划方法
US20060243955A1 (en) * 2005-04-19 2006-11-02 Yukihiro Fujimoto Semiconductor integrated circuit device

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3351643B2 (ja) * 1995-01-31 2002-12-03 株式会社東芝 半導体メモリ装置及びその製造方法
TW587251B (en) * 1999-10-04 2004-05-11 Koninkl Philips Electronics Nv A non-volatile MOS RAM cell with capacitor-isolated nodes that are radiation accessible for rendering a non-permanent programmed information in the cell a non-volatile one
US6467074B1 (en) * 2000-03-21 2002-10-15 Ammocore Technology, Inc. Integrated circuit architecture with standard blocks
US6470475B2 (en) * 2000-11-23 2002-10-22 Stmicroelectronics Ltd. Synthesizable synchronous static RAM
US6925627B1 (en) * 2002-12-20 2005-08-02 Conexant Systems, Inc. Method and apparatus for power routing in an integrated circuit
JP4284202B2 (ja) * 2004-02-04 2009-06-24 パナソニック株式会社 面積率/占有率検証プログラム及びパターン生成プログラム
WO2006052738A2 (en) * 2004-11-04 2006-05-18 Fabbrix, Inc. A method and process for design of integrated circuits using regular geometry patterns to obtain geometrically consistent component features
JP2009123966A (ja) * 2007-11-15 2009-06-04 Sharp Corp 半導体集積回路のレイアウトパターン設計方法、レイアウトパターン設計装置およびレイアウトパターン設計用プログラム
US8136072B2 (en) * 2008-11-03 2012-03-13 Arm Limited Standard cell placement
US8185851B2 (en) * 2009-08-12 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Memory building blocks and memory design using automatic design tools
US8607019B2 (en) * 2011-02-15 2013-12-10 Shine C. Chung Circuit and method of a memory compiler based on subtractive approach
JP5741234B2 (ja) * 2011-06-10 2015-07-01 富士通株式会社 セルの配置構造、半導体集積回路、及び回路素子セルの配置方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1523662A (zh) * 2003-09-12 2004-08-25 清华大学 用去耦合电容实现集成电路供电网络噪声优化的快速方法
CN1794459A (zh) * 2004-12-20 2006-06-28 松下电器产业株式会社 单元、标准单元、标准单元库、使用标准单元的布局方法和半导体集成电路
US20060243955A1 (en) * 2005-04-19 2006-11-02 Yukihiro Fujimoto Semiconductor integrated circuit device
CN1804849A (zh) * 2006-01-19 2006-07-19 复旦大学 多时钟系统的平面布图规划方法

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105322943B (zh) * 2014-06-03 2020-07-07 Arm 有限公司 具有接口电路的集成电路、和用于接口电路的接口单元
CN105322943A (zh) * 2014-06-03 2016-02-10 Arm有限公司 具有接口电路的集成电路、和用于接口电路的接口单元
CN106055726A (zh) * 2015-04-08 2016-10-26 联发科技股份有限公司 集成电路中的单元布局
CN104899364B (zh) * 2015-05-27 2018-01-02 上海华力微电子有限公司 一种用于器件建模的标准单元选择方法
CN104899364A (zh) * 2015-05-27 2015-09-09 上海华力微电子有限公司 一种用于器件建模的标准单元选择方法
CN108363845A (zh) * 2017-01-27 2018-08-03 Arm有限公司 电网愈合技术
CN108363845B (zh) * 2017-01-27 2021-06-29 Arm有限公司 电网愈合技术
CN109426693A (zh) * 2017-08-30 2019-03-05 台湾积体电路制造股份有限公司 开发电子器件的架构设计和制造电子器件的系统及方法
CN109426695A (zh) * 2017-08-30 2019-03-05 Arm有限公司 集成电路设计和/或制造
CN109426693B (zh) * 2017-08-30 2022-10-25 台湾积体电路制造股份有限公司 开发电子器件的架构设计和制造电子器件的系统及方法
CN109426695B (zh) * 2017-08-30 2023-09-22 Arm有限公司 集成电路设计和/或制造
CN111599803A (zh) * 2019-02-21 2020-08-28 台湾积体电路制造股份有限公司 集成电路结构及其制造方法
US11675949B2 (en) 2019-02-21 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Space optimization between SRAM cells and standard cells
CN111599803B (zh) * 2019-02-21 2023-08-29 台湾积体电路制造股份有限公司 集成电路结构及其制造方法
CN111177996A (zh) * 2020-01-02 2020-05-19 天津飞腾信息技术有限公司 一种优化集成电路可制造性的特殊图形规避方法及装置
CN111177996B (zh) * 2020-01-02 2023-06-30 天津飞腾信息技术有限公司 一种优化集成电路可制造性的特殊图形规避方法及装置
CN114707443A (zh) * 2022-05-23 2022-07-05 北京芯愿景软件技术股份有限公司 基本单元库简化方法及装置

Also Published As

Publication number Publication date
KR20140051774A (ko) 2014-05-02
US8645893B1 (en) 2014-02-04
TWI575393B (zh) 2017-03-21
KR101724261B1 (ko) 2017-04-07
US20140115554A1 (en) 2014-04-24
TW201419020A (zh) 2014-05-16
CN103778273B (zh) 2017-09-22

Similar Documents

Publication Publication Date Title
CN103778273A (zh) 产生包含标准单元及存储器实例的集成电路布图的方法
US20200136624A1 (en) Programmable Circuit Having Multiple Sectors
US7313775B2 (en) Integrated circuit with relocatable processor hardmac
US8132142B2 (en) Various methods and apparatuses to route multiple power rails to a cell
US9536035B2 (en) Wide pin for improved circuit routing
Shoukourian et al. SoC yield optimization via an embedded-memory test and repair infrastructure
KR102065531B1 (ko) 메모리 디바이스 및 이 메모리 디바이스 내에서 액세스 동작을 행하는 방법
CN104464823A (zh) 用于管理错误区域的存储器装置及方法
US10410917B2 (en) Semiconductor device including standard cell and electronic design automation method thereof
US7013441B2 (en) Method for modeling integrated circuit yield
US9904752B2 (en) Methods for distributing power in layout of IC
CN102385915B (zh) 随机存取存储器、存储器元件以及存储器元件的操作方法
TWI803481B (zh) 產生積體電路的電路模塊的佈局的方法,及其電腦程式產品、非暫時性儲存媒體,及積體電路
US9887186B2 (en) Memory circuit, layout of memory circuit, and method of forming layout
US20220246206A1 (en) Circuitry Apportioning of an Integrated Circuit
Lv et al. Logic-DRAM co-design to exploit the efficient repair technique for stacked DRAM
US10747931B2 (en) Shift of circuit periphery layout to leverage optimal use of available metal tracks in periphery logic
JPWO2009001426A1 (ja) 半導体装置
KR100644421B1 (ko) 반도체 메모리 구성요소, 특히 dram 구성요소의 설계및 제조방법
US20230337443A1 (en) Sram device and 3d semiconductor integrated circuit thereof
GB2520275A (en) A method of generating a layout of an integrated circuit comprising both standard cells and at least one memory instance
Atishay et al. A Statistical Wafer Scale Error and Redundancy Analysis Simulator
JP4187714B2 (ja) 半導体メモリのレイアウト方法及び半導体メモリ
JP5032996B2 (ja) 半導体装置
Sun et al. Low power and robust binary tree SRAM design for embedded systems

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant