CN109390276A - 互连结构及其形成方法 - Google Patents

互连结构及其形成方法 Download PDF

Info

Publication number
CN109390276A
CN109390276A CN201811216101.7A CN201811216101A CN109390276A CN 109390276 A CN109390276 A CN 109390276A CN 201811216101 A CN201811216101 A CN 201811216101A CN 109390276 A CN109390276 A CN 109390276A
Authority
CN
China
Prior art keywords
layer
copper
metal
barrier layer
phase
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201811216101.7A
Other languages
English (en)
Inventor
林瑀宏
叶菁馥
蔡昕辰
梁耀祥
张育民
林士琦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109390276A publication Critical patent/CN109390276A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

本发明公开了一种改进的互连结构及其形成方法,这允许互连结构实现较低Rc。为了降低互连结构的Rc,将α相诱导金属层引入到β相的第一Ta阻挡层上,从而诱导随后沉积在其上的Ta形成α相Ta阻挡层。与β相Ta阻挡层相比,随后沉积的主要的晶体结构为α相的Ta阻挡层具有较低的Rc。

Description

互连结构及其形成方法
本申请是2014年6月26日提交的优先权日为2013年6月27日的申请号为201410301605.4的名称为“互连结构及其形成方法”的发明专利申请的分案申请。
技术领域
本发明涉及互连结构及其形成方法。
背景技术
半导体集成电路(IC)工业已经经历了快速发展。现代集成电路由数百万诸如晶体管和电容器的有源器件制成。IC材料和设计中的技术进步已经产生了多代IC,其中,每一代都具有比上一代更小和更复杂的电路。这些器件最初是彼此隔离的,但是之后通过多个金属层互连在一起以形成功能电路。随着IC变得越来越复杂,互连结构也变得更复杂,导致金属层的数量的增加。
典型的互连结构包括诸如金属线(接线)的横向互连件和诸如导电通孔和接触件的垂直互连件。复杂的互连件可以限制现代集成电路的性能和密度。钽(Ta)已经用作围绕铜导体的阻挡层材料以阻止导电性的铜扩散到周围的层间介电(ILD)层内。铜容易在操作期间扩散,这种现象称为电迁移。电迁移可以产生能够使邻近的导电部件短路的卷须状物。然而,当使用当前技术沉积时,Ta显示出比铜的接触电阻率(Rc)高得多的接触电阻率(Rc)。因此,Ta阻挡层限制了整体线路电阻。因此,需要的是具有Ta阻挡层的阻塞优势而没有较低Rc性能的劣势的互连结构及其形成方法。
发明内容
为了解决现有技术中存在的问题,根据本发明的一个方面,提供了一种互连结构,包括:衬底;介电材料层,位于所述衬底上;以及导电部件,位于所述介电层中,所述导电部件具有:含铜(Cu)金属;α相钽(Ta)阻挡层,至少部分地、周边地环绕所述含Cu金属;α相诱导金属层,周边地环绕所述α相Ta阻挡层;以及β相Ta阻挡层,周边地环绕所述α相诱导金属层。
在上述互连结构中,所述α相诱导金属层的厚度在约5埃与60埃之间。
在上述互连结构中,其中,所述α相Ta阻挡层的厚度在约5埃和约60埃之间。
在上述互连结构中,其中,所述β相Ta阻挡层的厚度在约10埃和约100埃之间。
在上述互连结构中,其中,所述含Cu金属选自由Cu、铜镁(CuMg)、铜铝(CuAl)、铜锰(CuMn)、铜钛(CuTi)、铜硅(CuSi)、铜钨(CuW)、铜钽(CuTa)、铜锆(CuZr)、铜钼(CuMo)和它们的组合组成的组。
在上述互连结构中,其中,所述α相诱导金属层至少位于开口底部的所述β相Ta阻挡层的上方。
在上述互连结构中,还包括覆盖所述导电部件或位于所述导电部件下面的另一个导电部件。
在上述互连结构中,其中,所述α相诱导金属层由选自由Cu、钴(Co)、钛(Ti)和钌(Ru)组成的组中的金属制成。
根据本发明的另一方面,还提供了一种集成电路器件,包括:衬底;多个层间介电层,位于所述衬底上;以及多个导电部件,各个导电部件相应地位于各自的介电层中,每个导电部件均具有:β相Ta阻挡层;α相诱导金属层,位于所述β相Ta阻挡层上;α相Ta阻挡层,位于所述α相诱导金属层上;以及含Cu金属,位于所述α相Ta阻挡层上。
根据本发明的又一方面,还提供了一种用于形成互连结构的方法,所述方法包括:在衬底上沉积介电材料层;在所述介电材料层中形成开口以露出下面的导电材料;以及形成导电部件,包括:在所述开口中沉积第一Ta阻挡层;在所述第一Ta阻挡层上形成α相诱导金属层;在所述α相诱导金属层上沉积第二Ta阻挡层;在所述第二Ta阻挡层上形成第一含Cu金属的晶种层;以及使用第二含Cu金属填充所述开口。
在上述方法中,其中,沉积所述第一Ta阻挡层或所述第二Ta阻挡层是化学汽相沉积(CVD)、物理汽相沉积(PVD)或原子层沉积(ALD)。
在上述方法中,其中,通过PVD工艺、CVD工艺、ALD工艺、电镀、化学镀或它们的组合形成所述第一含Cu金属的所述晶种层。
在上述方法中,其中,使用所述第二含铜金属填充所述开口是电镀或化学镀选自由铜(Cu)、铜镁(CuMg)、铜铝(CuAl)、铜锰(CuMn)、铜钛(CuTi)、铜硅(CuSi)、铜钨(CuW)、铜钽(CuTa)、铜锆(CuZr)、铜钼(CuMo)和它们的组合组成的组中的金属。
在上述方法中,其中,所述第一含Cu金属不同于所述第二含Cu金属。
在上述方法中,其中,形成所述α相诱导金属层是至少部分地覆盖所述开口底部的所述第一Ta阻挡层。
在上述方法中,还包括重复形成所述导电部件的步骤以形成覆盖所述第二含铜金属的第二导电部件。
在上述方法中,其中,形成所述α相诱导金属层是电镀选自由Cu、钴(Co)、钛(Ti)和钌(Ru)组成的组的金属层。
在上述方法中,其中,沉积所述α相诱导金属层是化学镀选自由Cu、Co、Ti和Ru组成的组的金属层。
在上述方法中,还包括部分地去除所述第二含铜金属和所述晶种层的至少一部分,从而至少部分地暴露所述第一Ta阻挡层或所述第二Ta阻挡层的顶面。
在上述方法中,还包括部分地去除所述第二含铜金属和所述晶种层的至少一部分,从而至少部分地暴露所述第一Ta阻挡层或所述第二Ta阻挡层的顶面,其中,部分地去除所述第二含铜金属和所述晶种层的至少一部分包括化学机械抛光(CMP)工艺或电抛光工艺。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的实施例。应该强调,根据工业中的标准实践,各个部件未按比例绘出。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1是根据本发明的各个实施例的半导体器件中的互连结构的图示局部截面侧视图。
图2是根据本发明的各个实施例的示出了制造互连结构的方法的流程图。
图3至图9是根据本发明的各个实施例的在各个制造阶段中的图1的互连结构的图示局部截面侧视图。
具体实施方式
应该理解,以下公开提供了许多用于实现本发明的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。此外,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。为了简单和清楚,各个部件可以以不同的比例任意地绘出。
除非上下文中另有明确规定,否则在此使用的单数形式“一”、“一个”和“这”包括多个指示对象。因此,除了上下文中另有明确规定,例如,参照栅极堆叠件包括具有两个或多个这样的栅极堆叠件的实施例。贯穿该说明书,参照“一实施例”或“一个实施例”意味着结合实施例描述的特定部件、结构或特征包括在本发明的至少一个实施例中。因此,贯穿该说明书,在各处的短语“在一实施例中”或“在一个实施例中”的出现不一定都指的是相同实施例。而且,特定部件、结构或特征可以以任何适合的方式组合在一个或多个实施例中。应该理解,以下的图未按比例绘出;相反,这些图旨在用于说明的目的。
随着半导体器件尺寸的持续缩小,满足导电性需求以及多个金属化制造中的可靠性已经变得越来越难。例如,互连结构(包括金属线和与集成电路(IC)器件的不同层中的金属线互连的导电通孔)的形成通常需要低电阻,但也需要可靠的阻挡层以阻止导电通孔中的导电金属扩散到ILD层内。为了降低IC器件中的RC延迟,阻挡层在控制互连件的电阻率方面也起着重要的作用。
在已知的镶嵌工艺中,在引入铜之前,在图案化的介电层表面上方沉积阻挡层,并且然后沉积晶种层。铜容易在操作期间扩散,这种现象称为电迁移,电迁移可以产生能够使邻近的导电部件短路的卷须状物。当与硅接触时,铜损害半导体器件操作。因此,需要阻挡层以防止铜扩散到器件区域内。通常选择薄的难熔金属或金属氮化物用于阻挡层。代表性的阻挡层材料包括钽(Ta)、氮化钽(TaN)、钨(W)、氮化钨(WN)、钛和氮化钛(Ti/TiN)。在所有这些阻挡层材料中,Ta表现出了用于阻挡件的前景并且已经用作阻挡层的材料,该阻挡层形成在通路孔(via hole)上方以阻止通路孔中的导电金属扩散到ILD层内。
钽以两相的形式存在,低电阻率(15-30μΩ·cm)的α相(也称为bcc或“体心立方”相)和较高电阻率(150-200μΩ·cm)的β相(四方结构)。当通过物理汽相沉积(PVD)工艺沉积Ta时,容易形成β相。然而,具有β相晶体结构的Ta的接触电阻显示出不太令人满意的性质。获得α相Ta的方法更难以再现并且已经发现需要加热衬底、将低等级杂质引入薄膜内和/或在电解质和Ta之间使用诸如TaN的特定基底层。
根据本发明的各个实施例,公开了一种改进的互连结构及其形成方法,这允许互连结构实现较低Rc。为了降低互连结构的Rc,将α相诱导金属层引入到β相的第一Ta阻挡层上以诱导随后沉积在其上的Ta形成α相Ta阻挡层。具有α相的主要晶体结构的随后沉积的Ta阻挡层的Rc比β相Ta阻挡层的Rc低。
为了说明的目的,图1是根据本发明的各个实施例的半导体器件中的互连结构的图示局部截面侧视图。出于简单的原因,图1可以仅示出互连结构的一部分,并且省略了互连结构的示出部分和衬底110之间的任何中间层。互连结构可以是晶圆中的半导体器件的部分。图2是根据本发明的各个实施例的示出了制造互连结构的方法的流程图。将以根据本发明的各个实施例的图3至图9中的处于各个制造阶段中的互连结构的一部分的截面侧视图解释操作。应该理解,为了更好地理解本发明的发明构思,已经简化了图1和图3至图9。
图1是互连结构100,互连结构100包括衬底110、位于衬底110上的介电材料层120以及导电部件。导电部件具有含铜金属160/170、α相Ta阻挡层150、α相诱导金属层140和β相Ta阻挡层130。含铜金属由α相Ta阻挡层150至少部分地且周边地环绕。α相Ta阻挡层150由α相诱导金属层140周边地环绕。而且,α相诱导金属层由β相Ta阻挡层130周边地环绕。含铜金属可以包括在组成上与第二含铜金属170不同或相同的晶种层160。需要晶种层以向电化学沉积反应提供导电性以及为随后的铜电镀提供成核位点。通常地,在阻挡层上方沉积薄铜层以用作晶种层。如多个实施例所示,互连结构是单镶嵌结构或双镶嵌结构。
本领域技术人员将认识到金属化层的形成细节。可以形成覆盖ILD层120的金属化层,金属化层是导电线的一部分并且具有由诸如化学机械抛光(CMP)工艺的平坦化工艺处理(如果必要的话)的露出的表面。CMP工艺利用料浆以帮助蚀刻掉ILD层120上方的材料,这些材料包括晶种层160和含铜金属170。
图2是根据本发明的各个实施例的形成互连结构100的方法200的流程图。也参照图1至图8,方法200包括操作202:在衬底110上方沉积介电材料层120。介电材料层120可以是层间电介质(ILD)并且可以包含氧化物材料或低k材料。可以通过例如化学汽相沉积(CVD)工艺步骤、旋涂工艺步骤或它们的组合形成介电材料层120。提供介电层120以隔离在不同层和/或相同层上形成的导电部件。
方法200包括操作204:在介电材料层120中形成开口125。对于不同实施例,可以在介电材料层120中形成多个开口。例如,开口125可以是接触开口、通孔开口、单镶嵌开口、双镶嵌开口或它们的组合。例如,可以形成开口125的步骤包括:通过在介电材料层120上方形成图案化的光刻胶层(未示出),并且通过将图案化光刻胶层(未示出)用作掩模,使用干蚀刻工艺步骤去除介电材料层120的部分以限定开口125。可以使用各种合适的干蚀刻工艺。在干蚀刻工艺步骤之后,通过例如光刻去除工艺去除图案化的光刻胶层(未示出)。
方法200包括操作206:在开口125中沉积β相的第一Ta阻挡层130。在实施例中,可以通过化学汽相沉积(CVD)、物理汽相沉积(PVD)或原子层沉积(ALD)来沉积第一Ta层。
在操作208中,在第一Ta阻挡层130上形成α相诱导金属层140。如多个实施例所示,α相诱导金属层140是化学镀的金属层,这些金属选自由Cu、钴(Co)、钛(Ti)和钌(Ru)组成的组。在实施例中,可以在第一Ta阻挡层130的整个表面上方或仅在底部的第一Ta阻挡层130上方共形沉积具有基本均匀厚度的α相诱导金属层140。
在操作210中,在α相诱导金属层140上沉积第二Ta层,并因此具有α相150。在实施例中,可以通过CVD、PVD或ALD沉积第二Ta层。
而且,方法200包括操作212:通过诸如电镀工艺的工艺在第二Ta阻挡层150上形成第一含铜金属160的晶种层。
在操作214中,使用金属材料(第二含铜金属)填充开口125。可以以合适的沉积工艺形成该金属材料。电镀工艺通常用于沉积铜,因为与PVD或CVD相比,这样的工艺具有更好的间隙填充能力。PVD技术包括例如各种蒸发和溅射技术,诸如DC和/或RF等离子体溅射、偏压溅射、磁控溅射、离子电镀或离子化金属等离子体溅射。由于它们的各向异性和定向性质,PVD工艺通常产生非共形沉积。CVD技术包括例如热CVD、等离子体增强CVD、低压CVD、高压CVD和有机金属CVD。CVD工艺最经常用于在整个表面上方(包括领域上方以及开口的底部和侧壁表面上方)产生具有基本均匀厚度的共形沉积。
方法200还可以包括化学机械抛光(CMP)工艺、电抛光步骤、干蚀刻步骤或它们的组合,实施这些步骤以去除位于介电层上方的金属材料的部分。通过CMP工艺步骤、电抛光步骤、干蚀刻步骤或它们的组合部分地去除第二含铜金属和第一含铜金属的晶种层。可能需要多步骤CMP工艺以去除在介电层120的表面上方形成的第二含铜金属170、晶种层160和Ta阻挡层150/130。总之,单独的CMP步骤用于去除在介电层120(用于隔离两个邻近的接触件、通孔和/或镶嵌结构)上方形成的Ta阻挡层。
在图3中,提供了在其上方具有介电材料层120的衬底110。参照图2的操作202,衬底110是掺杂有诸如硼的P型掺杂剂或掺杂有诸如磷或砷的N型掺杂剂的硅衬底。衬底110可以可选地包括诸如锗和金刚石的其他元素半导体。衬底110可以可选择地包括化合物半导体和/或合金半导体。而且,衬底110可以包括外延层(epi层),可以是受应变的以用于性能增强,并且可以包括绝缘体上硅(SOI)结构。介电材料层120也称为层间介电(ILD)层。ILD层120可以包括诸如氧化物、氮化物、低k介电材料或其他合适的材料的介电材料。ILD层120可以包括一种或多种介电材料和/或一个或多个介电层。
在图4中,形成穿过介电材料层120至衬底110的开口125。参照操作204,在开口125的形成中,通过诸如旋涂的合适的工艺在ILD层120上方形成光刻胶(未示出)的层,并且通过光刻图案化方法图案化光刻胶的层以形成图案化的光刻胶部件。然后可以使用干蚀刻工艺转移图案化光刻胶部件以穿过介电材料层120蚀刻出用于接触件的开口125。此后剥离光刻胶层。开口125配置为填充有导电部件以在半导体器件(例如,晶体管器件)的微电子部件和外部器件之间提供电连接。
参照图5和图2的操作206,通过诸如物理汽相沉积(PVD)、化学汽相沉积(CVD)、原子层沉积(ALD)、它们的组合或其他合适的工艺的合适的沉积工艺在开口125以及介电材料层120上方形成第一钽(Ta)阻挡层130。第一Ta阻挡层130可以具有β相晶体结构,β相的晶体结构表现出高接触电阻。对于本发明的各个实施例而言,β相的第一Ta阻挡层130的厚度在约10埃和100埃之间。如本发明的实施例所示,β相的第一Ta阻挡层130的厚度在约50埃和85埃之间。
参照图6和图2的操作208,通过如电镀工艺或用于第一Ta阻挡层130的合适的沉积工艺在第一Ta阻挡层130上沉积α相诱导金属层。如实施例所示,至少在开口125的底部(即,衬底110的暴露表面)处的第一Ta阻挡层130上方形成α相诱导金属层。α相诱导金属层140如此命名是因为该层被引入到第一Ta阻挡层上以便诱导随后沉积的主要的晶体结构为α相的Ta阻挡层形成,α相Ta的Rc低于β相Ta的Rc。候选材料是那些能够诱导α相Ta阻挡层形成的材料。例如,α相诱导金属层由选自由Cu、钴(Co)、钛(Ti)和钌(Ru)组成的组中的金属形成。对于本发明的各个实施例而言,α相诱导金属层的厚度在约5埃和60埃之间。如实施例所示,α相诱导金属层的厚度在约15埃和50埃之间。
在图7和图2的操作210中,在α相诱导金属层140上方沉积第二Ta阻挡层150。对于本发明的各个实施例而言,α相的第二Ta阻挡层150的厚度在约5埃和60埃之间。如本发明的实施例所示,β相的第一Ta阻挡层130的厚度在约5埃和20埃之间。
通常地,在阻挡层上方沉积薄铜层以用作晶种层。在图8和图2的操作212中,在第二Ta阻挡层150上方沉积晶种层160。可以通过例如PVD工艺、CVD工艺、ALD工艺、电镀、化学镀或它们的组合形成晶种层160。需要晶种层160以向电化学沉积反应提供导电性以及为随后的铜电镀提供成核位点。晶种层160由第一含铜金属制成,第一含铜金属选自由铜(Cu)、铜镁(CuMg)、铜铝(CuAl)、铜锰(CuMn)、铜钛(CuTi)、铜硅(CuSi)、铜钨(CuW)、铜钽(CuTa)、铜锆(CuZr)、铜钼(CuMo)和它们的组合组成的组。
参照图9和图2的操作214,在开口125中填充第二含铜金属170。第二含铜金属是选自由Cu、CuMg、CuAl、CuMn、CuTi、CuSi、CuW、CuTa、CuZr、CuMo和它们的组合组成的组中的金属。
基于X射线衍射(XRD)分析,阻挡层150的纳米晶体微结构识别为具有α相Ta结构。由在2Θ=38°处的X射线衍射峰表征出了主要(110)定向的α相Ta阻挡层150。XRD分析表明在β相Ta阻挡层上引入α相诱导铜层之后,通过α相诱导铜层成功地诱导了α相Ta层,并且成功地将α相Ta层形成在α相诱导铜层上。
通常,在使用PVD工艺的情况下,具有厚度为200埃的Ta阻挡层的互连件中的导电部件的Rc比仅具有β相Ta阻挡层的传统的互连结构的Rc几乎低20%。
综上所述,根据本发明的各个方面,互连结构包括衬底、位于衬底上的介电材料层以及位于介电层中的导电部件。导电部件具有含Cu金属、至少部分地、周边地环绕含Cu金属的α相Ta阻挡层、周边地环绕α相Ta阻挡层的α相诱导金属层以及周边地环绕α相诱导金属层的β相Ta阻挡层。互连结构还可以包括覆盖导电部件或位于导电部件下面的另一个导电部件。
在实施例中,α相Ta阻挡层的厚度在约5埃和约60埃之间。α相诱导金属层的厚度可以在约5埃和60埃之间。β相Ta阻挡层的厚度可以在约10埃和约100埃之间。如多个实施例所示,含Cu金属可以选自由Cu、铜镁(CuMg)、铜铝(CuAl)、铜锰(CuMn)、铜钛(CuTi)、铜硅(CuSi)、铜钨(CuW)、铜钽(CuTa)、铜锆(CuZr)、铜钼(CuMo)和它们的组合组成的组。
在实施例中,α相诱导金属层可以至少设置在开口底部的β相Ta阻挡层上方。α相诱导金属层可以由选自由Cu、钴(Co)、钛(Ti)和钌(Ru)组成的组中的金属制成。
根据本发明的各个方面,集成电路器件包括衬底、位于衬底上的多个层间介电层以及多个导电部件,每个导电部件均具有β相Ta阻挡层、位于β相Ta阻挡层上的α相诱导金属层、位于α相诱导金属层上的α相Ta阻挡层以及位于α相Ta阻挡层上的含Cu金属。各个导电部件相应地位于各自的介电层中。
根据本发明的各个方面,用于形成互连结构的方法包括以下操作。在衬底上沉积介电材料层。在介电层中形成开口以暴露下面的导电材料。另外,形成导电部件。在形成导电部件的步骤中,在开口中沉积第一Ta阻挡层;在第一Ta阻挡层上形成α相诱导金属层;在α相诱导金属层上沉积第二Ta阻挡层;在第二Ta阻挡层上形成第一含Cu金属的晶种层;以及使用第二含铜金属填充开口。
以上讨论的本发明的实施例具有超过现有的结构和方法的优势。至少在开口底部的第一Ta阻挡层上方形成α相诱导金属层,该α相诱导金属层诱导随后沉积的主要的晶体结构为α相的Ta阻挡层,α相Ta的Rc低于β相Ta的Rc。然而,应该理解,其他实施例可以具有不同的优势,并且没有特定优势是所有实施例都需要的。
上面概述了若干实施例的特征,使得本领域技术人员可以更好地理解以下详细描述。本领域技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与在此所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该认识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,在此他们可以做出多种变化、替换以及改变。

Claims (10)

1.一种用于形成互连结构的方法,所述方法包括:
在衬底上沉积介电材料层;
在所述介电材料层中形成开口以露出下面的导电材料;以及
形成导电部件,包括:
在所述开口中沉积第一Ta阻挡层;
在所述第一Ta阻挡层上形成α相诱导金属层,使得所述α相诱导金属层仅覆盖所述第一Ta阻挡层的底面;
在所述α相诱导金属层上沉积第二Ta阻挡层;
在所述第二Ta阻挡层上形成第一含Cu金属的晶种层;以及
使用第二含Cu金属填充所述开口。
2.根据权利要求1所述的方法,还包括部分地去除所述第二含铜金属和所述晶种层的至少一部分,从而暴露所述第一Ta阻挡层的顶面,而不暴露所述α相诱导金属层。
3.根据权利要求1所述的方法,其中,沉积所述第一Ta阻挡层或所述第二Ta阻挡层是化学汽相沉积(CVD)、物理汽相沉积(PVD)或原子层沉积(ALD)。
4.根据权利要求1所述的方法,其中,通过物理汽相沉积工艺、化学汽相沉积工艺、原子层沉积工艺、电镀、化学镀或它们的组合形成所述第一含Cu金属的所述晶种层。
5.根据权利要求1所述的方法,其中,使用所述第二含铜金属填充所述开口是电镀或化学镀选自由铜(Cu)、铜镁(CuMg)、铜铝(CuAl)、铜锰(CuMn)、铜钛(CuTi)、铜硅(CuSi)、铜钨(CuW)、铜钽(CuTa)、铜锆(CuZr)、铜钼(CuMo)和它们的组合组成的组中的金属。
6.根据权利要求1所述的方法,其中,所述第一含Cu金属不同于所述第二含Cu金属。
7.根据权利要求1所述的方法,还包括重复形成所述导电部件的步骤以形成覆盖所述第二含铜金属的第二导电部件。
8.根据权利要求1所述的方法,其中,形成所述α相诱导金属层是电镀选自由Cu、钴(Co)和钌(Ru)组成的组的金属层。
9.根据权利要求1所述的方法,其中,沉积所述α相诱导金属层是化学镀选自由Cu、Co和Ru组成的组的金属层。
10.根据权利要求2所述的方法,其中,部分地去除所述第二含铜金属和所述晶种层的至少一部分包括化学机械抛光(CMP)工艺或电抛光工艺。
CN201811216101.7A 2013-06-27 2014-06-26 互连结构及其形成方法 Pending CN109390276A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/929,341 2013-06-27
US13/929,341 US20150001720A1 (en) 2013-06-27 2013-06-27 Interconnect Structure and Method for Forming Interconnect Structure
CN201410301605.4A CN104253108A (zh) 2013-06-27 2014-06-26 互连结构及其形成方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201410301605.4A Division CN104253108A (zh) 2013-06-27 2014-06-26 互连结构及其形成方法

Publications (1)

Publication Number Publication Date
CN109390276A true CN109390276A (zh) 2019-02-26

Family

ID=52114805

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201410301605.4A Pending CN104253108A (zh) 2013-06-27 2014-06-26 互连结构及其形成方法
CN201811216101.7A Pending CN109390276A (zh) 2013-06-27 2014-06-26 互连结构及其形成方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201410301605.4A Pending CN104253108A (zh) 2013-06-27 2014-06-26 互连结构及其形成方法

Country Status (4)

Country Link
US (2) US20150001720A1 (zh)
KR (3) KR20150001629A (zh)
CN (2) CN104253108A (zh)
TW (1) TWI518843B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9601544B2 (en) * 2013-07-16 2017-03-21 Imec Three-dimensional magnetic memory element
US9252110B2 (en) * 2014-01-17 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming same
US10079174B2 (en) 2014-04-30 2018-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Composite contact plug structure and method of making same
US9853123B2 (en) * 2015-10-28 2017-12-26 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US10461047B2 (en) * 2015-10-29 2019-10-29 Intel Corporation Metal-free frame design for silicon bridges for semiconductor packages
US10050139B2 (en) 2016-06-24 2018-08-14 Infineon Technologies Ag Semiconductor device including a LDMOS transistor and method
US9875933B2 (en) 2016-06-24 2018-01-23 Infineon Technologies Ag Substrate and method including forming a via comprising a conductive liner layer and conductive plug having different microstructures
US10242932B2 (en) 2016-06-24 2019-03-26 Infineon Technologies Ag LDMOS transistor and method
US10622284B2 (en) 2016-06-24 2020-04-14 Infineon Technologies Ag LDMOS transistor and method
TWI784036B (zh) * 2017-08-30 2022-11-21 荷蘭商Asm智慧財產控股公司 層形成方法
CN110184575A (zh) * 2019-05-23 2019-08-30 南京理工大学 具有高温阻挡性能的α-Ta涂层的制备方法
US11515256B2 (en) 2021-01-27 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070152342A1 (en) * 2005-12-30 2007-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Via structure and process for forming the same
CN101174577A (zh) * 2001-10-26 2008-05-07 应用材料公司 作为用于铜金属化的阻挡层的原子层沉积氮化钽和α相钽
CN102124559A (zh) * 2008-08-13 2011-07-13 国际商业机器公司 用于金属互连的共形粘附促进衬垫
CN102376632A (zh) * 2010-08-19 2012-03-14 中芯国际集成电路制造(上海)有限公司 形成半导体器件结构的方法
US8148257B1 (en) * 2010-09-30 2012-04-03 Infineon Technologies Ag Semiconductor structure and method for making same

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6339258B1 (en) * 1999-07-02 2002-01-15 International Business Machines Corporation Low resistivity tantalum
US7294241B2 (en) * 2003-01-03 2007-11-13 Chartered Semiconductor Manufacturing Ltd. Method to form alpha phase Ta and its application to IC manufacturing
US6992390B2 (en) * 2003-11-07 2006-01-31 International Business Machines Corp. Liner with improved electromigration redundancy for damascene interconnects
US7071564B1 (en) * 2004-03-04 2006-07-04 Advanced Micro Devices, Inc. Composite tantalum capped inlaid copper with reduced electromigration and reduced stress migration
US7445810B2 (en) * 2004-04-15 2008-11-04 Hewlett-Packard Development Company, L.P. Method of making a tantalum layer and apparatus using a tantalum layer
US7449409B2 (en) * 2005-03-14 2008-11-11 Infineon Technologies Ag Barrier layer for conductive features
US20110266676A1 (en) * 2010-05-03 2011-11-03 Toshiba America Electronic Components, Inc. Method for forming interconnection line and semiconductor structure

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101174577A (zh) * 2001-10-26 2008-05-07 应用材料公司 作为用于铜金属化的阻挡层的原子层沉积氮化钽和α相钽
US20070152342A1 (en) * 2005-12-30 2007-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Via structure and process for forming the same
CN102124559A (zh) * 2008-08-13 2011-07-13 国际商业机器公司 用于金属互连的共形粘附促进衬垫
CN102376632A (zh) * 2010-08-19 2012-03-14 中芯国际集成电路制造(上海)有限公司 形成半导体器件结构的方法
US8148257B1 (en) * 2010-09-30 2012-04-03 Infineon Technologies Ag Semiconductor structure and method for making same

Also Published As

Publication number Publication date
CN104253108A (zh) 2014-12-31
US20150001720A1 (en) 2015-01-01
KR20160041883A (ko) 2016-04-18
US20160379875A1 (en) 2016-12-29
KR101857915B1 (ko) 2018-05-14
KR20150001629A (ko) 2015-01-06
TWI518843B (zh) 2016-01-21
KR20170066297A (ko) 2017-06-14
TW201501239A (zh) 2015-01-01
US9966304B2 (en) 2018-05-08

Similar Documents

Publication Publication Date Title
CN109390276A (zh) 互连结构及其形成方法
US7193327B2 (en) Barrier structure for semiconductor devices
US10686126B2 (en) Back end of line metallization structures
US10727122B2 (en) Self-aligned via interconnect structures
US9543198B2 (en) Structure and method for forming interconnect structure
US7417321B2 (en) Via structure and process for forming the same
US6972254B1 (en) Manufacturing a conformal atomic liner layer in an integrated circuit interconnect
US20050263891A1 (en) Diffusion barrier for damascene structures
CN110459502A (zh) 在半导体器件中形成跳跃通孔结构的方法和半导体器件
US20050266679A1 (en) Barrier structure for semiconductor devices
US10727123B2 (en) Interconnect structure with fully self-aligned via pattern formation
CN109216317A (zh) 具混合金属化的互连
JP2008515229A (ja) 後工程のための均一な銅相互接続部及び形成方法
CN101640184A (zh) 半导体器件及其制造方法
CN107452713A (zh) 形成具有改进黏附性的低电阻率贵金属互连的装置及方法
US11217742B2 (en) Bottom electrode for semiconductor memory device
JP2022527203A (ja) ダブル・メタル・パターニング
US6903011B2 (en) Displacement method to grow cu overburden
US10134670B2 (en) Wafer with plated wires and method of fabricating same
US20170154816A1 (en) Amorphous metal interconnections by subtractive etch
US7169706B2 (en) Method of using an adhesion precursor layer for chemical vapor deposition (CVD) copper deposition
US11908734B2 (en) Composite interconnect formation using graphene
US20230077760A1 (en) Top via interconnects without barrier metal between via and above line
US20230187349A1 (en) Local interconnects having different material compositions
US20210104406A1 (en) Electrode with Alloy Interface

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20190226