JP2022527203A - ダブル・メタル・パターニング - Google Patents

ダブル・メタル・パターニング Download PDF

Info

Publication number
JP2022527203A
JP2022527203A JP2021558969A JP2021558969A JP2022527203A JP 2022527203 A JP2022527203 A JP 2022527203A JP 2021558969 A JP2021558969 A JP 2021558969A JP 2021558969 A JP2021558969 A JP 2021558969A JP 2022527203 A JP2022527203 A JP 2022527203A
Authority
JP
Japan
Prior art keywords
metal layer
layer
semiconductor device
metal
mandrel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021558969A
Other languages
English (en)
Other versions
JP7466564B2 (ja
Inventor
チェン、フュー-チュン
シュウ、ヨンガン
ミグノット、ヤン
ケリー、ジェームス
クレベンガー、ローレンス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2022527203A publication Critical patent/JP2022527203A/ja
Application granted granted Critical
Publication of JP7466564B2 publication Critical patent/JP7466564B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

技術は、方法および半導体デバイスに関する。マンドレルが、基板上に形成され、マンドレルが第1のメタル層を含む。第2のメタル層が、第1のメタル層に隣接して基板上に形成され、第1および第2のメタル層がスペーサ材料によって分離される。

Description

本発明は、一般に半導体デバイスのための製造方法および得られる構造に関し、特に、インターコネクトまたは配線のためのダブル・メタル・ダブル・パターニング(double metal double patterning)を使用する方法および構造に関する。
配線工程(BEOL)は、トランジスタ、キャパシタ、抵抗体、等の個別の回路デバイスがウェハ上で配線を用いて相互接続される集積回路(IC)製造の第2の部分である。配線用の一般的な金属は、銅およびアルミニウムを含む。BEOLは、金属の第1の層がウェハに堆積されるときに一般に始まる。BEOLは、コンタクト、絶縁性層(誘電体)、メタル・レベルおよびチップ-パッケージ接続用のボンディング・サイトを含む。マルチ・パターニングが相補型金属-酸化物-半導体(CMOS)製造のために実行されてきている。配線をパターニングするための実際的な方式の中で、自己整合ダブル・パターニング(SADP)および自己整合クアドルプル・パターニング(SAQP)が、配線を形成するために使用される。小さな寸法で、適切なインターコネクト・ピッチを実現することが、ますます難しくなってきている。
そのために、当技術分野には前述の問題に対処する必要性がある。
第1の態様から見ると、本発明は、半導体デバイスを形成する方法を提供し、この方法は、第1のメタル層を含むマンドレルを基板上に形成することと、第1のメタル層に隣接して基板上に第2のメタル層を形成することであって、第1および第2のメタル層がスペーサ材料によって分離される、第2のメタル層を形成することとを含む。
さらなる態様から見ると、本発明は、半導体デバイスを提供し、この半導体デバイスは、基板上に形成され、第1のメタル層を含むマンドレルと、第1のメタル層に隣接して基板上に形成された第2のメタル層であって、第1および第2のメタル層がスペーサ材料によって分離される、第2のメタル層とを備える。
発明の実施形態は、半導体デバイスを形成するための方法に向けられる。この方法の非限定的な例は、第1のメタル層を含むマンドレルを基板上に形成することと、第1のメタル層に隣接して基板上に第2のメタル層を形成することであって、第1および第2のメタル層がスペーサ材料によって分離される、第2のメタル層を形成することとを含む。
発明の実施形態は、半導体デバイスに向けられる。この半導体デバイスの非限定的な例は、基板上に形成され、第1のメタル層を含むマンドレルと、第1のメタル層に隣接して基板上に形成された第2のメタル層であって、第1および第2のメタル層がスペーサ材料によって分離される、第2のメタル層とを含む。
さらなる技術的な特徴および利点は、本発明の技術を通して実現される。発明の実施形態および態様は、本明細書において詳細に説明され、特許請求した主題の一部と考えられる。より良く理解するために、詳細な説明および図面を参照されたい。
本発明を、単に例として、下記の図に図示したような、好ましい実施形態を参照して説明する。
発明の実施形態による製造作業の初期のセットの後の半導体デバイスの等角図である。 発明の実施形態による製造作業の後の半導体デバイスの等角図である。 発明の実施形態による製造作業の後の半導体デバイスの等角図である。 発明の実施形態による製造作業の後の半導体デバイスの等角図である。 発明の実施形態による製造作業の後の半導体デバイスの等角図である。 発明の実施形態による図5Aの半導体デバイスの側面図である。 発明の実施形態による図5Aの半導体デバイスの平面図である。 発明の実施形態による製造作業の後の半導体デバイスの等角図である。 発明の実施形態による図6Aの半導体デバイスの側面図である。 発明の実施形態による図6Aの半導体デバイスの平面図である。 発明の実施形態による製造作業の後の半導体デバイスの等角図である。 発明の実施形態による製造作業の後の半導体デバイスの等角図である。 発明の実施形態による図8Aの半導体デバイスの側面図である。 発明の実施形態による製造作業の後の半導体デバイスの等角図である。 発明の実施形態による図9Aの半導体デバイスの側面図である。 発明の実施形態による図9Aの半導体デバイスの平面図である。 発明の実施形態による製造作業の後の半導体デバイスの等角図である。 発明の実施形態による製造作業の後の半導体デバイスの等角図である。 発明の実施形態による図11Aの半導体デバイスの側面図である。 発明の実施形態による図11Aの半導体デバイスの平面図である。
本明細書に描かれた図は、例示的である。発明の思想から逸脱することなく本明細書において記載した図または作業への多くの変形があり得る。例えば、動作を違った順番で実行することができる、または動作を、追加する、削除するまたは変更することができる。また、「結合された」という用語およびその変形形態は、2つの要素同士の間に通信経路を有することを述べており、要素同士の間に介在する要素/接続のない状態での要素同士の間の直接接続を意味しない。これらの変形形態のすべては、明細書の一部と考えられる。
発明の実施形態の添付の図および下記の詳細な説明では、図に図示された様々な要素は、2桁または3桁の参照番号を与えられる。少しの例外で、各々の参照番号の左端の桁は、その要素が最初に図示される図に対応する。
簡潔さの目的で、半導体デバイス製造および集積回路(IC)製造に関する従来技術を、本明細書では詳細に説明することも説明しないこともある。その上、本明細書において説明する様々なタスクおよびプロセス・ステップを、本明細書で詳細には説明しない追加のステップまたは機能を有するより包括的な処理手続きまたはプロセスへと組み込むことができる。特に、半導体デバイスおよび半導体に基づくICの製造における様々なステップは、良く知られており、そのため、簡潔さのために、多くの従来型のステップは、本明細書では簡潔に述べられるに過ぎないだろうまたは良く知られたプロセスの詳細を与えることなく完全に省略されるだろう。
ここで発明の態様により具体的に関連する技術の概要に転じると、集積回路上のトランジスタ、キャパシタ、等のようなデバイスのサイズが小さくなるにつれて、インターコネクト・ピッチのスケーリングは、より難しくなる。SADPプロセスを、インターコネクトを形成するために使用することができるが、これは多くの込み入ったステップを必要とする。
ここで発明の態様の概要に転じると、発明の1つまたは複数の実施形態は、ダブル・メタル・ダブル・パターニング(DMDP)を使用する半導体デバイスおよび方法を提供する。発明の実施形態によれば、DMDPは、コバルト、ルテニウム、ニッケルなどの代替金属の使用を認めることによって金属抵抗制御を提供し、最先端の自己整合ダブル・パターニング(SADP)方式よりも50%少ないプロセス作業を利用する集積化方式を利用する。例えば、発明の実施形態によれば、2つの異なる金属のうちの一方がマンドレルの機能を果たし、半導体デバイス上に残るという理由で、DMDPは、最先端のSADPよりも少ないプロセス・ステップおよび少ないエッチング・ステップで2つの異なる金属をパターニングできる。これゆえ、犠牲マンドレルを形成し、その後で犠牲マンドレルを除去する製造プロセスは、発明の実施形態にとっては必要でない。
本発明の態様のより詳細な説明にここで転じると、図1は、発明の実施形態による半導体デバイス100の等角図を描いている。初期の製造作業の後では、半導体デバイス100は、基板102上に形成した層104を含む。基板102は、半導体材料から形成される。基板102を、シリコン(Si)、シリコン・ゲルマニウム、III-V族材料、等とすることができる、とはいえ他の材料を使用することができる。層104は、酸化物材料、窒化物材料などの誘電体材料を含むことができる。第1のメタル層106を、先のレベル/層104上に形成する。発明の1つまたは複数の実施形態では、先のレベル/層104が省略されることがあり、第1のメタル層106を基板102上に形成することができる。第1のメタル層106の材料例としては、コバルト、ルテニウム、ニッケル、タングステン、白金などの代替金属を挙げることができる。第1のメタル層106の他の材料例としては、タングステン、銅、アルミニウム、金、銀、等を挙げることができる。ハード・マスク層108を第1のメタル層106上に形成する。ハード・マスク層108は、酸化物材料および窒化物材料を含むことができる。標準的なリソグラフィ技術を、半導体デバイス100の製造プロセスで実施することができる。
図2は、発明の実施形態による半導体デバイス100の等角図を描いている。マンドレル・パターニングを実行する。エッチングは、ハード・マスク層108をパターニングするために実行することができ、ハード・マスク層108のパターンを、第1のメタル層106へとエッチングし、これによりマンドレル202を形成する。反応性イオン・エッチング(RIE)または他のエッチングを、マンドレル202を形成するために使用することができる。非金属材料からマンドレルを別に形成しなければならないのではなく、第1のメタル層106自体がマンドレル202になる。加えて、第1のメタル層106のパターニングした材料であるマンドレル202は残り(すなわち、エッチングで除去されない)、インターコネクトとして使用されるメタル配線になる。主なエッチング薬品は、例えば、アルミニウム、ルテニウム、チタンに対してCl/BClであり、タングステンに対してSFである。
図3は、発明の実施形態による半導体デバイス100の等角図を描いている。スペーサ材料302を半導体デバイス100上に形成する。スペーサ材料302を、原子層堆積、スピン・オン誘電体、等を含め様々な堆積技術を使用して堆積することができる。スペーサ材料302は、酸化物材料および窒化物材料などの誘電体材料を含むことができる。また、スペーサ材料302の材料例としては、グラフェン、カーボン・ナノチューブ、およびナノカーボンと呼ばれることがあるフラーレンなどのカーボン系材料を挙げることができる。他のカーボン系材料は、炭窒化ケイ素ホウ素(SiBCN)、シリコン・オキシカーバイド(SiOC)、窒化ケイ素炭素(SiCN)、窒化ケイ素酸素炭素(SiOCN)、等を含むことができる。炭素材料が図6A、図6B、および図6Cの層104全体にわたりエッチングされるときに、炭素材料は、下流プロセスに対する十分なエッチング選択性を与えるように選択される。さらに、スペーサ材料302は、下記にさらに論じるように、スペーサ材料302が隣接するメタル配線同士の間の物理的分離および電気的分離を与えるように、十分な厚さを有する。
図4は、発明の実施形態による半導体デバイス100の等角図を描いている。バックフィル堆積およびエッチ・バックを実行する。例えば、充填材料402を堆積し、スペーサ材料302上で止まるエッチ・バックを実行する。充填材料402を、スピン・オン・ガラス(SOG)として形成することができ、SOGは狭いギャップを埋めるために液体の形態で付けられる層間誘電性材料であり、したがって平坦化に寄与する。
図5Aは、発明の実施形態による半導体デバイス100の等角図を描いている。図5Bは、発明の実施形態による図5Aの半導体デバイス100の側面図を描いている。図5Cは、発明の実施形態による図5Aの半導体デバイス100の平面図を描いている。非マンドレル・リソグラフィを実行する。従来技術では、犠牲マンドレルを、所望の形状を有する後の外形を形成するためにプレースホルダとして使用し、次いで犠牲マンドレルを除去する。発明の実施形態は、パターニングした第1のメタル層106がマンドレルであるまたはマンドレルとして機能するあるいはその両方でありマンドレル202の除去を必要としない。したがって、発明の実施形態は、マンドレル202が従来技術において使用される犠牲マンドレルではないという理由で、非マンドレル・プロセスを利用する。ブロック・マスク502を堆積し、標準的なリソグラフィを使用してパターニングする。ブロック・マスク502は、有機平坦化層(OPL)を含むことができる。任意選択で、ブロック・マスク502は、OPL層上に形成される反射防止コーティング(ARC)層を含むことができる。トレンチ504を、ブロック・マスク502内に形成する。トレンチ504は、充填材料402およびスペーサ材料302の一部を露出させる。いくつかの例では、スペーサ材料302の上面は、トレンチ504内に露出されないかもしれない。トレンチ504を、メタル配線用の金属の堆積のための準備で形成する。従来のSADP集積化では、非マンドレル領域は、存在する従来の犠牲マンドレルを有することに常に依存することが注目される。従来技術においては非マンドレル領域に何もないという理由で、発明の実施形態で論じた非マンドレル・リソグラフィを実行する必要がなく、実行することは考えられない。しかしながら、発明の実施形態によるこのDMDP集積化では、非マンドレル・リソグラフィは、非マンドレル領域内のバックフィル材料402のために可能である。このことは、パターニングした第1のメタル層106がマンドレルであるまたはマンドレルとして作用するという理由で、第1のメタル層106のパターニングした材料以外の外形を含む非マンドレル外形の形状を規定する能力を可能にする。
図6Aは、発明の実施形態による半導体デバイス100の等角図を描いている。図6Bは、発明の実施形態による図6Aの半導体デバイス100の側面図を描いている。図6Cは、発明の実施形態による図6Aの半導体デバイス100の平面図を描いている。スペーサ・エッチを、露出した充填材料402および除去したバックフィル材料402の直下のスペーサ材料302をエッチングするために実行する。反応性イオン・エッチング(RIE)を実行することができる。上に述べたように、スペーサ材料302は、バックフィル材料402、SOG材料に対して選択的であるエッチングをスペーサ材料に与える炭素系の材料である。加えて、非マンドレル外形サイズ(CD)(すなわち、露出したバックフィル材料402を除去した後で、第2のメタル層802が図8Aおよび図8Bにおいて形成されるだろう場所)は、スペーサ材料302によって、特にスペーサ材料302同士の間の層104を露出させる除去したバックフィル材料402の前の場所によって規定されることが予想される。結果として、バックフィル材料402は、第2のメタル層802の堆積のための準備においてエッチングで除去されるように選定される。
図7は、発明の実施形態による半導体デバイス100の等角図を描いている。ブロック・マスク502を除去する。例えば、OPL層を、プラズマ剥離プロセスを使用して剥離することができる。OPLを除去することは、最上部のARC層を同時に除去する。
図8Aは、発明の実施形態による半導体デバイス100の等角図を描いている。図8Bは、発明の実施形態による図8Aの半導体デバイス100の側面図を描いている。第2の金属堆積を実行する。第2のメタル層802を、標準的なリソグラフィ技術を使用して半導体デバイス100上に堆積する。より具体的に、第2のメタル層802を、除去したバックフィル材料402の前の場所に形成する。図6Aおよび図6Bにこれまでに見られるように、トレンチ504は、バックフィル材料402の除去の後で拡大した深さを有する。
第2のメタル層802の材料例としては、コバルト、ルテニウム、ニッケル、タングステン、白金などの代替金属を挙げることができる。第2のメタル層802の他の材料例としては、銅、アルミニウム、金、銀、等を挙げることができる。第1のメタル層106および第2のメタル層802を、異なる材料とすることができることが注目され、このことが異なる材料を用いてダブル・メタル配線を相応して形成する。この方法で、複数のメタル配線を異なる材料から形成することができるという理由で、1つの配線は、もう1つのメタル配線とは異なる特性を有することができる。いくつかの例では、第1のメタル層106および第2のメタル層802を同じ材料とすることができることが考えられる。マンドレルへとパターニングされている第1のメタル層106がダブル・メタル配線のうちの一方になるように残る、これゆえ、犠牲マンドレルを形成し、その後で除去する製造プロセスが省略されることが注目される。
図9Aは、発明の実施形態による半導体デバイス100の等角図を描いている。図9Bは、発明の実施形態による図9Aの半導体デバイス100の側面図を描いている。図9Cは、発明の実施形態による図9Aの半導体デバイス100の平面図を描いている。化学機械平坦化/研磨(CMP)を、第2のメタル層802の余分な部分、スペーサ材料302の一部分、およびハード・マスク層108を除去するために第2のメタル層802の上に実行する。CMPは、第1のメタル層106上で止まる。上に記したように、CMPはまた、第1のメタル層106の最上部のハード・マスク層108を除去し、これゆえ、第1のメタル層106および第2のメタル層802の最上部が露出される。第1のメタル層106および第2のメタル層802は、メタル配線であり、これらはインターコネクトとも呼ばれる。
側面図での第1のメタル層106および第2のメタル層802に関して、メタル配線の各々の幅は、約40ナノメートル(nm)よりも小さい。40nmよりも小さい線幅を有することによって、第1のメタル配線層106と第2のメタル配線層802とが2つの異なる金属であるときに、第1のメタル配線層106および第2のメタル配線層802は、異なる配線抵抗を有することができる。第1のメタル配線/第1のメタル配線層106の線幅および第2のメタル配線/第2のメタル配線層802の線幅は、側面図に最も良く見られる。3つのメタル配線が図示されているが、半導体デバイス100は、3つのメタル配線に限定されず、当業者には理解されるように、トランジスタ、キャパシタ、抵抗体などの個別の回路デバイスへの接続を作るために数多くのメタル配線を有することができることが認識されるはずである。
ライナを堆積する選択肢として、図10は、発明の実施形態による半導体デバイス100の等角図を描いている。図10は、図7の製造作業から続く。ライナ1002を、半導体デバイス100の上面に堆積することができる。共形堆積技術を使用することができる。第2のメタル層802は、標準的なリソグラフィ技術を使用してライナ1002上にここで堆積される。第2のメタル層802の材料例は、上に記されている。ライナ1002は、接着層、拡散層、等であってもよいまたはこれらとして作用する。任意選択のライナ1002の材料は、第2のメタル層802の材料によって異なることがある。例えば、第2のメタル層802が銅であるケースでは、ライナ1002を、TiN、TaN、等とすることができる。第2のメタル層802がアルミニウムであるケースでは、ライナ1002を、TiN、Ta、等とすることができる。第2のメタル層802がコバルトであるケースでは、ライナ1002を、TiNとすることができる。第2のメタル層802がルテニウムであるケースでは、ライナ1002は利用されない。
図11Aは、発明の実施形態による半導体デバイス100の等角図を描いている。図11Bは、発明の実施形態による図11Aの半導体デバイス100の側面図を描いている。図11Cは、発明の実施形態による図11Aの半導体デバイス100の平面図を描いている。図9A、図9B、および図9Cに記したように、CMPを第2のメタル層802の上に実行する。CMPは、第1のメタル層106上で止まる。また、CMPは、第1のメタル層106の最上部のハード・マスク層108を除去し、ライナ1002の一部分を除去する。したがって、第1のメタル層106および第2のメタル層802の最上部が露出する。第1のメタル層106および第2のメタル層802はメタル配線であり、これらはまたインターコネクトとも呼ばれる。
半導体デバイス100を形成する方法が、発明の実施形態にしたがって提供される。マンドレル202を基板102上に形成し、マンドレル202は第1のメタル層106を含む。第2のメタル層802を、第1のメタル層106に隣接して基板102上に形成し、第1および第2のメタル層をスペーサ材料302によって分離する。
誘電体層(例えば、層104)を、基板102とマンドレル202との間の介在層として形成する。誘電体層(例えば、層104)は、基板102と第2のメタル層802との間に形成された介在層である。マンドレル202の側部には、スペーサ材料302が形成される。
第1のメタル層106に隣接して基板102上に第2のメタル層802を形成することは、マンドレル上にスペーサ材料302を形成することと、スペーサ材料302上に充填材料402を形成することと、充填材料402およびスペーサ材料302を貫通するトレンチ504またはパターンを形成することと、トレンチ504またはパターン内に第2のメタル層802を形成することとを含む。
第1のメタル層106は、第2のメタル層802とは異なる材料を含む。第1のメタル層106および第2のメタル層802は、同じ材料を含む。第1のメタル層はメタル配線であり、第2のメタル層はメタル配線である。ライナ層/ライナ1002は、第2のメタル層802とスペーサ材料302との間に形成された介在層である。ライナ層/ライナ1002は、第2のメタル層802と基板102との間に形成された介在層である。
本発明の様々な実施形態が、関連する図面を参照して本明細書において説明される。代替の実施形態を、この発明の範囲から逸脱せずに考案することができる。様々な接続および位置的な関係(例えば、上方に、下方に、隣接して、等)が、下記の説明および図面の要素同士の間で述べられるけれども、当業者なら、本明細書において説明された位置的な関係のうちの多くは、向きが変えられたとしても説明した機能が維持されるときに向きに無関係であることを理解するだろう。これらの接続または位置的な関係あるいはその両方は、別段の記載がない限り、直接的であっても間接的であってもよく、本発明は、この点で限定されるものではない。したがって、要素同士の結合は、直接的な結合または間接的な結合のどちらをも意味する場合があり、要素同士の間の位置的な関係は、直接的なまたは間接的な位置関係である場合がある。間接的な位置関係の例として、層「B」の上方に層「A」を形成することへの本明細書における言及は、層「A」および層「B」の関連する特性および機能が中間層によって実質的に変わらない限り、1つまたは複数の中間層(例えば、層C)が、層「A」と層「B」との間にある状況を含む。
下記の定義および省略形は、特許請求の範囲および明細書の解釈のために使用される。本明細書において使用するように、「備える(comprises)」、「備えている(comprising)」、「含む(includes)」、「含んでいる(including)」、「有する(has)」、「有している(having)」、「含有する(contains)」もしくは「含有している(containing)」という用語またはこれらの任意の他の変形は、非排他的な包含をカバーするものである。例えば、構成物、混合物、プロセス、方法、物品、または要素のリストを備える装置は、必ずしもこれらの要素だけに限定される必要がないだけでなく、明示的に列挙されていない他の要素またはこのような構成物、混合物、プロセス、方法、物品、もしくは装置に固有な他の要素を含むことができる。
加えて、「例示的な」という用語は、「例、事例または実例として働くこと」を意味するように本明細書では使用される。「例示的」として本明細書では説明されるいずれかの実施形態または設計は、他の実施形態または設計よりも好ましいまたは有利であると必ずしも解釈される必要がない。「少なくとも1つ」および「1つまたは複数」という用語は、1以上の任意の整数、すなわち、1、2、3、4、等を含むように理解される。「複数の」という用語は、2以上の任意の整数、すなわち、2、3、4、5、等を含むように理解される。「接続」という用語は、間接「接続」および直接「接続」を含むことができる。
「1つの実施形態」、「実施形態」、「実施形態例」、等への明細書における言及は、説明した実施形態が特定の特徴、構造、または特性を含むことができるが、すべての実施形態が特定の特徴、構造、または特性を含んでも含まなくてもよいことを示す。その上、このような句は、同じ実施形態を必ずしも参照する必要がない。さらに、特定の特徴、構造、または特性が、実施形態に関連して説明されるときに、明示的に説明されているか否かに拘わらず他の実施形態に関連するこのような特徴、構造、または特性に影響を与えることが当業者の知識内であることが提示される。
本明細書の以降において説明の目的で、「上側」、「下側」、「右」、「左」、「垂直」、「水平」、「最上部」、「底部」という用語およびこれらの派生語は、描かれる図に適応するように説明された構造および方法に関係するはずである。「上に横たわっている」、「頂上に」、「最上部に」、「上に設置される」または「頂上に設置される」という用語は、第1の構造などの第1の要素が、第2の構造などの第2の要素の上に存在することを意味し、ここでは、界面構造などの介在する要素が、第1の要素と第2の要素との間に存在することがある。「直接接触」という用語は、第1の構造などの第1の要素と第2の構造などの第2の要素とが、2つの要素の界面のところにいかなる中間の導電性層、絶縁性層または半導体層なしに接続されることを意味する。
例えば、「第2の要素に対して選択的に第1の要素」などの「に対して選択的に」という句は、第1の要素をエッチングすることができ、第2の要素がエッチ・ストップとして作用できることを意味する。
「約」、「実質的に」、「ほぼ」という用語およびこれらの変形は、出願を提出する時点で利用可能な機器に基づく特定の量の測定に付随する誤差の程度を含むものとする。例えば、「約」は、所与の値の±8%または5%、または2%の範囲を含むことができる。
本明細書において前に記したように、簡潔さの目的で、半導体デバイス製造および集積回路(IC)製造に関係する従来技術を、本明細書において詳細に説明することも説明しないこともある。背景として、しかしながら、本発明の1つまたは複数の実装形態を実施する際に利用することができる半導体デバイス製造プロセスのより一般的な記載を、ここで提供しよう。本発明の1つまたは複数の実施形態を実施する際に使用される特定の製造作業が、個々に知られていることがあるとはいえ、作業の説明した組み合わせまたは本発明の得られた構造あるいはその両方は、独創的である。このように、本発明による半導体デバイスの製造に関係して説明した作業の独特な組み合わせは、半導体(例えば、シリコン)基板に実行される様々な個々に知られた物理的プロセスおよび化学的プロセスを利用し、これらのプロセスのうちのいくつかが、すぐ次の段落で説明される。
一般に、ICへとパッケージングされるだろうマイクロ・チップを形成するために使用される様々なプロセスは、4つの一般的なカテゴリ、すなわち、膜堆積、除去/エッチング、半導体ドーピングおよびパターニング/リソグラフィへと分類される。堆積は、ウェハ上へと物質を成長させる、コーティングする、またはそうでなければ移動させる任意のプロセスである。利用可能な技術はとりわけ、物理気相堆積(PVD)、化学気相堆積(CVD)、電気化学堆積(ECD)、分子線エピタキシ(MBE)、およびごく最近では原子層堆積(ALD)を含む。除去/エッチングは、ウェハから物質を除去する任意のプロセスである。例として、エッチ・プロセス(ウェットまたはドライのいずれか)、および化学機械平坦化(CMP)、等が挙げられる。半導体ドーピングは、一般に、拡散によりまたはイオン注入によりあるいはその両方によって、例えば、トランジスタ・ソースおよびドレインをドーピングすることによる電気的特性の変更である。これらのドーピング・プロセスは、炉アニーリングまたは急速熱アニーリング(RTA)が続く。アニーリングは、注入したドーパントを活性化するように働く。導電体(例えば、ポリシリコン、アルミニウム、銅、等)および絶縁体(例えば、様々な形態の二酸化ケイ素、窒化ケイ素、等)の両方の膜が、トランジスタおよびその構成要素を接続し分離するために使用される。半導体基板の様々な領域の選択ドーピングは、基板の導電性が電圧の印加で変えられることを可能にする。これらの様々な構成要素の構造を作り出すことによって、最新のマイクロエレクトロニク・デバイスの複雑な回路を形成するために、数百万個のトランジスタを製作すると共に互いに配線することができる。半導体リソグラフィは、基板へのパターンの引き続く転写のために半導体基板上への3次元レリーフ画像またはパターンの形成である。半導体リソグラフィでは、パターンを、フォトレジストと呼ばれる感光性ポリマによって形成する。トランジスタおよび、回路の数百万個のトランジスタを接続する多くの配線を作成する複雑な構造を作るために、リソグラフィおよびエッチ・パターン転写ステップを多数回繰り返す。ウェハに焼き付けられる各々のパターンは、前に形成したパターンとアライメントされ、徐々に導電体、絶縁体および選択的にドーピングした領域が、最終デバイスを形成するために作り上げられる。
図のブロック図は、本発明の様々な実施形態による製造方法または作業方法あるいはその両方の可能な実装形態を図示している。方法の様々な機能/作業が、ブロックによって流れ図に表される。いくつかの代替の実装形態では、ブロックで記された機能が、図に記した順番から外れて起きることがある。例えば、連続して示された2つのブロックを、実際には、実質的に同時に実行することができる、または、含まれる機能に応じて、ブロックが逆の順番で時には実行されることがある。
本発明の様々な実施形態の説明が、例証の目的で提示されてきているが、網羅的なものではないし記載した実施形態に限定されるものでもない。多くの変更および変形が、記載した実施形態の範囲から逸脱せずに当業者には明らかだろう。本明細書において使用した用語法は、実施形態の原理、実際的なアプリケーションもしくは市場で見られる技術に対する技術的な改善を最も良く説明するように、または当業者が本明細書に記載した実施形態を理解することを可能にするように選ばれた。

Claims (20)

  1. 半導体デバイスを形成する方法であって、
    第1のメタル層を含むマンドレルを基板上に形成することと、
    前記第1のメタル層に隣接して前記基板上に第2のメタル層を形成することであって、前記第1および第2のメタル層がスペーサ材料によって分離される、前記第2のメタル層を形成することと
    を含む、方法。
  2. 誘電体層が、前記基板と前記マンドレルとの間に形成された介在層である、請求項1に記載の方法。
  3. 誘電体層が、前記基板と前記第2のメタル層との間に形成された介在層である、請求項1に記載の方法。
  4. 前記スペーサ材料が、前記マンドレルの側部に形成される、請求項1ないし3のいずれか1項に記載の方法。
  5. 前記第1のメタル層に隣接して前記基板上に前記第2のメタル層を形成することが、
    前記マンドレル上に前記スペーサ材料を形成することと、
    前記スペーサ材料上に充填材料を形成することと、
    前記充填材料および前記スペーサ材料を貫通するパターンを形成することと、
    前記パターン内に前記第2のメタル層を形成することと
    を含む、請求項1ないし4のいずれか1項に記載の方法。
  6. 前記第1のメタル層が、前記第2のメタル層とは異なる材料を含む、請求項1ないし5のいずれか1項に記載の方法。
  7. 前記第1のメタル層および前記第2のメタル層が、同じ材料を含む、請求項1ないし5のいずれか1項に記載の方法。
  8. 前記第1のメタル層が、メタル配線を含む、請求項1ないし7のいずれか1項に記載の方法。
  9. 前記第2のメタル層が、メタル配線を含む、請求項1ないし8のいずれか1項に記載の方法。
  10. ライナ層が、前記第2のメタル層と前記スペーサ材料との間に形成された介在層である、請求項1ないし9のいずれか1項に記載の方法。
  11. ライナ層が、前記第2のメタル層と前記基板との間に形成された介在層である、請求項1ないし10のいずれか1項に記載の方法。
  12. 半導体デバイスであって、
    基板上に形成され、第1のメタル層を含むマンドレルと、
    前記第1のメタル層に隣接して前記基板上に形成された第2のメタル層であって、前記第1および第2のメタル層がスペーサ材料によって分離される、前記第2のメタル層と
    を備える、半導体デバイス。
  13. 誘電体層が、前記基板と前記マンドレルとの間に形成された介在層である、請求項12に記載の半導体デバイス。
  14. 誘電体層が、前記基板と前記第2のメタル層との間に形成された介在層である、請求項12に記載の半導体デバイス。
  15. 前記スペーサ材料が、前記マンドレルの側部に形成される、請求項12ないし14のいずれか1項に記載の半導体デバイス。
  16. 前記第1のメタル層が、前記第2のメタル層とは異なる材料を含む、請求項12ないし15のいずれか1項に記載の半導体デバイス。
  17. 前記第1のメタル層および前記第2のメタル層が、同じ材料を含む、請求項12ないし15のいずれか1項に記載の半導体デバイス。
  18. 前記第1のメタル層がメタル配線を含み、前記第2のメタル層がもう1つのメタル配線を含む、請求項12ないし17のいずれか1項に記載の半導体デバイス。
  19. ライナ層が、前記第2のメタル層と前記スペーサ材料との間に形成された介在層である、請求項12ないし18のいずれか1項に記載の半導体デバイス。
  20. ライナ層が、前記第2のメタル層と前記基板との間に形成された介在層である、請求項12ないし18のいずれか1項に記載の半導体デバイス。
JP2021558969A 2019-04-09 2020-03-26 ダブル・メタル・パターニング Active JP7466564B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/378,608 2019-04-09
US16/378,608 US11069564B2 (en) 2019-04-09 2019-04-09 Double metal patterning
PCT/IB2020/052862 WO2020208455A1 (en) 2019-04-09 2020-03-26 Double metal patterning

Publications (2)

Publication Number Publication Date
JP2022527203A true JP2022527203A (ja) 2022-05-31
JP7466564B2 JP7466564B2 (ja) 2024-04-12

Family

ID=72748200

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021558969A Active JP7466564B2 (ja) 2019-04-09 2020-03-26 ダブル・メタル・パターニング

Country Status (6)

Country Link
US (1) US11069564B2 (ja)
JP (1) JP7466564B2 (ja)
CN (1) CN113661562A (ja)
DE (1) DE112020000672T5 (ja)
GB (1) GB2596742B (ja)
WO (1) WO2020208455A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11996340B2 (en) * 2021-08-05 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Passivation layer for protecting semiconductor structures

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3820917B2 (ja) * 2000-06-12 2006-09-13 ソニー株式会社 半導体記憶装置およびその動作方法
EP1300888B1 (en) * 2001-10-08 2013-03-13 STMicroelectronics Srl Process for manufacturing a dual charge storage location memory cell
US6518670B1 (en) 2002-03-06 2003-02-11 International Business Machines Corporation Electrically porous on-chip decoupling/shielding layer
US7592225B2 (en) * 2007-01-15 2009-09-22 Sandisk Corporation Methods of forming spacer patterns using assist layer for high density semiconductor devices
US7927990B2 (en) 2007-06-29 2011-04-19 Sandisk Corporation Forming complimentary metal features using conformal insulator layer
KR20100007152A (ko) 2008-07-11 2010-01-22 주식회사 하이닉스반도체 반도체 장치의 금속 배선 형성 방법
US8536656B2 (en) 2011-01-10 2013-09-17 International Business Machines Corporation Self-aligned contacts for high k/metal gate process flow
US8754483B2 (en) 2011-06-27 2014-06-17 International Business Machines Corporation Low-profile local interconnect and method of making the same
US8741763B2 (en) 2012-05-07 2014-06-03 Globalfoundries Inc. Layout designs with via routing structures
US8669180B1 (en) 2012-11-26 2014-03-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with self aligned end-to-end conductive line structure and method of forming the same
US8921225B2 (en) 2013-02-13 2014-12-30 Globalfoundries Inc. Method for off-grid routing structures utilizing self aligned double patterning (SADP) technology
US9093378B2 (en) 2013-03-15 2015-07-28 Samsung Electronics Co., Ltd. Method for forming patterns of semiconductor device using SADP process
US10163656B2 (en) 2013-11-16 2018-12-25 Applied Materials, Inc. Methods for dry etching cobalt metal using fluorine radicals
CN110444509A (zh) 2014-04-01 2019-11-12 应用材料公司 整合式金属间隔垫与气隙互连
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
WO2017171715A1 (en) 2016-03-28 2017-10-05 Intel Corporation Pitch division patterning approaches with increased overlay margin for back end of line (beol) interconnect fabrication and structures resulting therefrom
US10366890B2 (en) 2016-05-23 2019-07-30 Tokyo Electron Limited Method for patterning a substrate using a layer with multiple materials
US10276587B2 (en) * 2016-05-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. NVM memory HKMG integration technology
US9818640B1 (en) 2016-09-21 2017-11-14 Globalfoundries Inc. Apparatus and method of forming self-aligned cuts in a non-mandrel line of an array of metal lines
US9735029B1 (en) 2016-09-22 2017-08-15 International Business Machines Corporation Metal fill optimization for self-aligned double patterning
US10283512B2 (en) * 2016-11-29 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10340141B2 (en) 2017-04-28 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method for semiconductor device and structures resulting therefrom
US10475700B2 (en) * 2017-08-31 2019-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Etching to reduce line wiggling
KR102617139B1 (ko) * 2018-04-09 2023-12-26 삼성전자주식회사 반도체 소자 및 그 제조방법
US10192780B1 (en) * 2018-05-29 2019-01-29 Globalfoundries Inc. Self-aligned multiple patterning processes using bi-layer mandrels and cuts formed with block masks
US10762966B2 (en) * 2018-10-30 2020-09-01 Globalfoundries Singapore Pte. Ltd. Memory arrays and methods of forming the same

Also Published As

Publication number Publication date
WO2020208455A1 (en) 2020-10-15
GB202114702D0 (en) 2021-12-01
CN113661562A (zh) 2021-11-16
US11069564B2 (en) 2021-07-20
US20200328111A1 (en) 2020-10-15
DE112020000672T5 (de) 2021-11-18
GB2596742B (en) 2023-07-05
GB2596742A (en) 2022-01-05
JP7466564B2 (ja) 2024-04-12

Similar Documents

Publication Publication Date Title
US10643895B2 (en) Self-aligned interconnects formed using subtractive techniques
US7193327B2 (en) Barrier structure for semiconductor devices
US20160163587A1 (en) Self-aligned via interconnect structures
CN101138072B (zh) 具有沟道内铜漂移阻挡层的单掩膜mim电容器和电阻器
CN104253108A (zh) 互连结构及其形成方法
CN108573917B (zh) 非芯轴切口形成
KR100806034B1 (ko) Mim 캐패시터를 가지는 반도체 소자 및 그 제조방법
TW202011454A (zh) 具有藉由區塊圖案化形成之可變空間心軸切口的互連
WO2021033063A1 (en) Interconnect structure having fully aligned vias
US20050266679A1 (en) Barrier structure for semiconductor devices
JP7466564B2 (ja) ダブル・メタル・パターニング
US11830807B2 (en) Placing top vias at line ends by selective growth of via mask from line cut dielectric
KR20230098237A (ko) 자기-정렬된 상단 비아
US10896846B2 (en) Controlling performance and reliability of conductive regions in a metallization network
CN107452713A (zh) 形成具有改进黏附性的低电阻率贵金属互连的装置及方法
US20050184288A1 (en) Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method
US11908734B2 (en) Composite interconnect formation using graphene
US11201112B2 (en) Fully-aligned skip-vias
US11658041B2 (en) Methods of modifying portions of layer stacks
TWI838721B (zh) 具有用於線後段互連及交叉點之改良隔離之減成法金屬蝕刻
US11075161B2 (en) Large via buffer
US20230077760A1 (en) Top via interconnects without barrier metal between via and above line
CN117425956A (zh) 通过镶嵌导体的细分具有改进隔离的beol互连

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20220512

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220824

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230914

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230926

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231221

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240319

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240402

R150 Certificate of patent or registration of utility model

Ref document number: 7466564

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150