CN109326556A - 半导体器件和方法 - Google Patents

半导体器件和方法 Download PDF

Info

Publication number
CN109326556A
CN109326556A CN201810834870.7A CN201810834870A CN109326556A CN 109326556 A CN109326556 A CN 109326556A CN 201810834870 A CN201810834870 A CN 201810834870A CN 109326556 A CN109326556 A CN 109326556A
Authority
CN
China
Prior art keywords
precursor
dielectric layer
pore
foaming agent
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810834870.7A
Other languages
English (en)
Other versions
CN109326556B (zh
Inventor
潘尹捷
彭羽筠
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109326556A publication Critical patent/CN109326556A/zh
Application granted granted Critical
Publication of CN109326556B publication Critical patent/CN109326556B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

半导体器件和制造方法包括形成无沟道多孔低k材料。可以使用硅骨架前体和烃前体形成材料以形成基质材料。然后可以固化材料以去除致孔剂并且有助于使材料内的沟道塌陷。由此,该材料可以形成为具有小于或等于约1.8的比例因子。

Description

半导体器件和方法
技术领域
本发明的实施例涉及半导体器件和方法。
背景技术
半导体器件用于各种电子应用中,诸如例如个人计算机、手机、数码相机和其他电子设备。通常通过在半导体衬底上方依次沉积绝缘或介电层、导电层和半导体材料层,以及使用光刻和蚀刻工艺以在材料层上形成电子组件和元件来制造半导体器件。
半导体工业通过最小部尺寸的不断减小来不断改进各种电子组件(例如,晶体管、二极管、电阻器、电容器等)的集成密度,这允许更多的组件集成到给定区域。然而,随着最小部件尺寸的减小,在使用的每个工艺内出现额外的问题,并且应该解决这些额外的问题。
发明内容
本发明的实施例提供了一种制造半导体器件的方法,所述方法包括:在衬底上引入第一前体,其中,所述第一前体是基质前体;将第二前体引入至所述衬底上,使得所述第一前体和所述第二前体彼此反应以形成具有致孔剂的介电层,所述第二前体是致孔剂前体并且包括烃;以及从所述介电层去除所述致孔剂,其中,去除所述致孔剂也关闭所述介电层内的一个或多个沟道。
本发明的另一实施例提供了一种制造半导体器件的方法,所述方法包括:使用化学气相沉积工艺在衬底上沉积介电层,其中,所述化学气相沉积工艺利用烃致孔剂和基质前体;以及固化所述介电层以交联Si-O-Si并且去除致孔剂以形成具有隔离孔的无沟道低k膜。
本发明的又一实施例提供了一种半导体器件,包括:导电结构,嵌入在衬底内;以及低k介电材料,位于所述衬底上方,其中,所述低k介电材料在甲苯中具有低于10-7的扩散率并且具有大于的孔直径。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳地理解本发明的各个方面。应该注意,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增大或减小。
图1A至图1D示出了根据一些实施例的第一介电层的形成。
图2示出了根据一些实施例的沉积室。
图3示出了根据一些实施例的用于沉积室的控制单元。
图4示出了根据一些实施例的开口的形成。
图5示出了根据一些实施例的通孔的形成。
图6示出了根据一些实施例的光刻胶的去除。
图7示出了根据一些实施例的阻挡层的形成。
图8示出了根据一些实施例的互连件的形成。
图9示出了根据一些实施例的在单镶嵌实施例中的互连件的形成。
具体实施方式
以下公开内容提供了许多用于实现本发明的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件以直接接触的方式形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为了便于描述,在此可以使用诸如“在…下方”、“在…下面”、“下部”、“在…上方”、“上部”等空间相对术语以描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),并且在此使用的空间相对描述符可以同样地作出相应的解释。
下面将关于用于后段制程互连工艺的用作金属间介电层的介电材料描述实施例。然而,实施例不旨在限于这种用途。
现在参照图1A,图1A示出具有有源器件(未单独示出)的衬底101、位于衬底101上方的金属层103、位于金属层103内的导电元件105、第一蚀刻停止层107、可选择的第二蚀刻停止层109和第一介电层111。在实施例中,衬底101可以包括掺杂或未掺杂的块状硅或绝缘体上硅(SOI)衬底的有源层。通常地,SOI衬底包括诸如硅、锗、硅锗、SOI、绝缘体上硅锗(SGOI)或它们的组合的半导体材料层。可以使用的其他衬底包括多层衬底、梯度衬底或混合取向衬底。
有源器件可以包括可以用于生成设计的期望的结构和功能部件的诸如晶体管等的各种有源器件以及诸如电容器、电阻器、电感器等的无源器件。可以使用任何合适的方法在衬底101内或上形成有源器件和无源器件。
金属层103形成在衬底101和有源器件上方,并且设计为连接各种有源器件以形成用于设计的功能电路。在实施例中,金属层由介电材料和导电材料的交替层形成并且可以通过任何合适的工艺(诸如沉积、镶嵌、双镶嵌等)形成。在实施例中,可以存在通过至少一个层间介电层(ILD)与衬底101分隔开的一至十二个金属层,但是金属层的精确数量取决于设计。
导电元件105可以形成在金属层103的上部中,并且是将与互连件801(图1A中未示出,下面参照图8进行描述)形成物理连接和电连接的区域。在实施例中,导电元件105可以是使用例如镶嵌或双镶嵌工艺形成的诸如铜的材料,由此在金属层103的上部内形成开口,用诸如铜的导电材料填充和/或过填充开口,并且实施平坦化工艺以将导电材料嵌入在金属层103内。然而,任何合适的材料和任何合适的工艺可以用于形成导电元件105。
第一蚀刻停止层107用于保护下面的结构并且为随后的穿过例如第二蚀刻停止层109的蚀刻工艺提供控制点。在一个实施例中,第一蚀刻停止层107可以由氧化硅、氮化硅、碳氮化硅(SiCN)、碳氧化硅(SiCO)或诸如氧化铝(AlOx)、AlNx、AlOxNy、TiOx、TiOxNy、ZnOx、MnOx、这些的组合等的金属氧化物或氮化物形成。可以使用等离子体增强化学气相沉积(PECVD)、等离子体增强原子层沉积(PEALD)、热原子层沉积或物理气相沉积工艺形成第一蚀刻停止层107。第一蚀刻停止层107可以具有介于约和约之间的厚度,诸如约
一旦已经形成第一蚀刻停止层107以覆盖导电元件105,在第一蚀刻停止层107上方形成第二蚀刻停止层109以保护下面的结构并且为随后的穿过例如第一介电层111的蚀刻工艺提供控制点。在一个实施例中,第二蚀刻停止层109可以由氧化硅、氮化硅、碳氮化硅(SiCN)、碳氧化硅(SiCO)或诸如氧化铝(AlOx)、AlNx、AlOxNy、TiOx、TiOxNy、ZnOx、MnOx、这些的组合等的金属氧化物或氮化物形成。可以使用等离子体增强化学气相沉积(PECVD)、等离子体增强原子层沉积(PEALD)、热原子层沉积或物理气相沉积工艺形成第二蚀刻停止层109。第二蚀刻停止层109可以具有介于约和约之间的厚度,诸如约
一旦已经形成第二蚀刻停止层109,可以形成第一介电层111以帮助隔离互连件801与其他邻近的电路由线。在实施例中,例如,第一介电层111可以是旨在帮助隔离互连件801与其他结构的低k介电膜。通过隔离互连件801,可以减小互连件801的电阻-电容(RC)延迟,从而改进通过互连件801的电力的整体效率和速度。
在实施例中,第一介电层111可以是诸如SiOC:H的低扩散无沟道多孔低k材料,并且可以通过首先在第二蚀刻停止层109上方形成沉积的膜来形成。沉积的膜可以包括基质材料和散布在基质材料内的致孔剂(共价键合至基质材料或散布但是不键合至基质材料)。在实施例中,例如,通过使用诸如等离子体增强化学气相沉积(PECVD)、低压CVD(LPCVD)、热CVD、这些的组合等的化学气相沉积工艺共沉积基质材料和致孔剂来形成沉积的膜,由此基质材料与致孔剂同时沉积,从而利用混合在一起的基质材料和致孔剂形成沉积的膜。然而,可以利用任何合适的工艺。
沉积的膜可以形成至足以提供隔离和第一介电层111所期望的路由特性的厚度。在实施例中,沉积的膜可以形成至介于约和约之间的第一厚度T1,诸如约然而,这些厚度仅是示例性的,并且不旨在限制实施例的范围,沉积的膜的精确厚度可以是任何合适的期望厚度。
图2至图3示出了可以用于从第一前体传送系统205、第二前体传送系统206和反应物传送系统208接收前体材料并且在衬底101上形成材料层的沉积系统200。在实施例中,第一前体传送系统205、第二前体传送系统206和反应物传送系统208可以彼此共同作用以向沉积室203供应各种不同前体材料,其中,衬底101位于沉积室203中。然而,第一前体传送系统205、第二前体传送系统206和反应物传送系统208可以具有彼此类似的物理组件。
例如,第一前体传送系统205、第二前体传送系统206和反应物传送系统208的每个可以包括气体供应器207和流体控制器209(图2中关于第一前体传送系统205进行标记,但是为了清楚,关于第二前体传送系统206或反应物传送系统208未标记)。在第一处理前体以气态储存的实施例中,气体供应器207可以向沉积室203供应第一处理前体。气体供应器207可以是位于沉积室203内或远离沉积室203的诸如气体储存罐的容器。可选地,气体供应器207可以是独立地制备第一处理前体并且向流体控制器209传送第一处理前体的设备。用于第一处理前体的任何合适的源可以用作气体供应器207,并且所有这样的源完全旨在包括在实施例的范围内。
气体供应器207可以向流体控制器209供应期望的前体。流体控制器209可以用于控制流动至前体气体控制器213并且最终流动至沉积室203的前体,从而也帮助控制沉积室203内的压力。例如,流体控制器209可以是比例阀、调节阀、针阀、压力调节器、质量流量控制器、这些的组合等。然而,可以利用用于控制和调节流动至前体罐的载气的任何合适的方法,并且所有这样的组件和方法完全旨在包括在实施例的范围内。
然而,如本领域技术人员将认识到的,虽然第一前体传送系统205、第二前体传送系统206和反应物传送系统208在本文中描述为具有相同的组件,但是这仅是示例性实例并且不旨在以任何方式限制实施例。可以可选地利用任何类似的合适的前体传送系统(具有与沉积系统200内的任何其他前体传送系统相同或不同的任何类型和数量的单独的组件)。所有这样的前体系统完全旨在包括在实施例的范围内。
此外,在第一处理前体以固态或液态储存的实施例中,气体供应器207可以储存载气,并且载气可以引入至前体罐(未单独示出),前体罐储存固态或液态的第一处理前体。然后在第一处理前体被发送至前体气体控制器213之前蒸发或升华成前体罐的气体部分时,载气用于推动或携带第一处理前体。任何合适的方法和单元的组合可以用于提供第一处理前体,并且单元的所有这样的组合完全旨在包括在实施例的范围内。
第一前体传送系统205、第二前体传送系统206和反应物传送系统208可以向前体气体控制器213供应它们的单独的前体材料。前体气体控制器213连接第一前体传送系统205、第二前体传送系统206和反应物传送系统208与沉积室203并且将第一前体传送系统205、第二前体传送系统206和反应物传送系统208与沉积室203隔离以将期望的前体材料传送至沉积室203。前体气体控制器213可以包括诸如阀、流量计、传感器等的器件以控制每种前体的传送速率,并且可以由从控制单元215(下面参照图3进一步描述)接收的指令控制。
在从控制单元215接收指令之后,前体气体控制器213可以打开和关闭阀,以将第一前体传送系统205、第二前体传送系统206和反应物传送系统208的一个或多个连接至沉积室203,并且通过歧管216将期望的前体材料导向至沉积室203并且导向至喷头217。喷头217可以用于将所选择的前体材料分散至沉积室203,并且可以设计为均匀地分散前体材料以最小化可能由不均匀分散引起的不期望的工艺条件。在实施例中,喷头217可以具有圆形设计(喷头217周围均匀地分布有开口)以允许期望的前体材料分散至沉积室203。
然而,如本领域技术人员将认识到的,如上描述的通过单个喷头217或通过单个引入点将前体材料引入至沉积室203仅旨在是示例性的,并且不旨在限制于实施例。可以可选地利用将前体材料引入至沉积室203的任何数量的单独和独立的喷头217或其他开口。喷头和其他引入点的所有这样的组合完全旨在包括在实施例的范围内。
沉积室203可以接收期望的前体材料并且将前体材料暴露于第二蚀刻停止层109,并且沉积室203可以是可以适合于分散前体材料并且使前体材料与第二蚀刻停止层109接触的任何期望的形状。在图2中示出的实施例中,沉积室203具有圆柱形侧壁和底部。然而,沉积室203不限于圆柱形,并且可以利用诸如中空方形管、八边形等的任何其他合适的形状。此外,沉积室203可以被由对各种工艺材料惰性的材料制成的外壳219围绕。由此,尽管外壳219可以是可以抵抗沉积工艺涉及的化学物和压力的任何合适的材料,但是在实施例中,外壳219可以是钢、不锈钢、镍、铝、这些的合金、这些的组合等。
在沉积室203内,衬底101可以放置在安装平台221上,以在处理和沉积工艺期间定位和控制衬底101和第二蚀刻停止层109。安装平台221可以包括加热机构以在处理和沉积工艺期间加热衬底101。此外,虽然图2中示出了单个安装平台221,但是任何数量的安装平台221可以额外地包括在沉积室203内。
此外,沉积室203和安装平台221可以是集群工具系统(未示出)的部分。集群工具系统可以与自动处理系统结合使用,以在处理和沉积工艺之前将衬底101定位和放置在沉积室203内,在处理和沉积工艺期间定位、保持衬底101,以及在处理和沉积工艺之后从沉积室203去除衬底101。
沉积室203也可以具有用于使排出气体离开沉积室203的排气出口225。真空泵223可以连接至沉积室203的排气出口225,以帮助抽出排出气体。在控制单元215的控制下,真空泵223也可以用于减小沉积室203内的压力和将沉积室203内的压力控制在期望的压力,并且也可以用于从沉积室203抽出前体材料以为引入下一前体材料做准备。
图3示出了可以用于控制前体气体控制器213和真空泵223的控制单元215(如图2所示)的实施例。控制单元215可以是可以用于控制工艺机器的工业设置的计算机处理器的任何形式。在实施例中,控制单元215可以包括处理单元301,诸如台式计算机、工作站、笔记本电脑或为特定应用定制的专用单元。控制单元215可以配备有显示器303以及一个或多个输入/输出组件305(诸如指令输出端、传感器输入端、鼠标、键盘、打印机、这些的组合等)。处理单元301可以包括连接至总线312的中央处理单元(CPU)306、存储器308、大容量存储器件310、视频适配器314和I/O界面316。
总线312可以是包括存储器总线或存储器控制器、外围总线或视频总线的若干总线架构的任何类型的一种或多种。CPU306可以包括任何类型的电子数据处理器,并且存储器308可以包括任何类型的系统存储器,诸如静态随机存取存储器(DRAM)或只读存储器(ROM)。大容量存储器件310可以包括配置为存储数据、程序和其他信息并且使数据、程序和其他信息可通过总线312存取的任何类型的存储器件。例如,大容量存储器件310可以包括硬盘驱动器、磁盘驱动器或光盘驱动器的一种或多种。
视频适配器314和I/O界面316提供界面以将外部的输入和输出器件耦合至处理单元301。如图3所示,输入和输出器件的实例包括耦合至视频适配器314的显示器303和耦合至I/O界面316的I/O组件305(诸如鼠标、键盘、打印机等)。其他器件可以耦合至处理单元301,并且可以利用额外的或更少的界面卡。例如,串行界面卡(未示出)可以用于为打印机提供串行界面。处理单元301也可以包括网络界面318,网络界面318可以有线连接至局域网(LAN)或广域网(WAN)320和/或无线连接。
应该注意,控制单元215可以包括其他组件。例如,控制单元215可以包括电源供应器、电缆、主板、可移动存储介质、箱子等。虽然图3中未示出,但是这些其他组件是控制单元215的预期部分。
现在返回图1A,沉积系统200可以用于在第二蚀刻停止层109上沉积第一介电层111。在实施例中,第一介电层111的形成可以通过将第一前体材料放入第一前体传送系统205开始。例如,在期望第一介电层111是诸如SiOC:H的材料的实施例中,第一前体可以是具有硅骨架的骨架前体(或基质前体)以形成硅-氧键合基质。在特定实施例中,骨架前体可以是烷氧基硅烷、氨基硅烷或环状烷氧基硅烷基前体。
在一些实施例中,骨架前体仅包括烷氧基硅烷。例如,在这样的实施例中,骨架前体可以包含烷氧基硅烷,包括Si(OR)4、Si(OR)3L、Si(OR1)2(OR2)2、Si(OR1)3(OR2)1、Si(OR1)2(OR2)L、Si(OR1)(OR2)(OR3)L、Si(OR1)(OR2)(OR3)(OR4),其中,R、R1、R2、R3、R4可以是CH3、C2H5、C3H7、C4H9等,并且R1≠R2≠R3≠R4,并且其中L可以是H。使用这些,可以使用的骨架前体的一个实例是具有以下结构的Si(OCH3)2H2
在其他实施例中,骨架前体仅包括氨基硅烷。在这种实施例中,骨架前体可以包括Si(N(CH3)2)aL4-a、Si(N(C2H5)2)bL4-b,其中,1≤a,b≤4,并且其中,L可以是H。使用这些,可以使用的骨架前体的一个实例是具有以下结构的SiH(N(CH3)2)3
在其他实施例中,骨架前体不是仅包括烷氧基或氨基,而是可以包括烷氧基和氨基。例如,在这样的实施例中,骨架前体可以具有包含Si(OR)2(N(CH3)2)2、Si(OR)3(N(CH3)2)1、Si(OR)1(N(CH3)2)3、Si(OR)(OR1)(N(CH3)2)(N(C2H5))、Si(OR)a(N(CH3)2)bLc、Si(OR)a(N(C2H5)2)bLc的基质,其中,R、R1可以是CH3、C2H5、C3H7、C4H9,并且R≠R1,a、b≥1,L可以是H。使用这些,可以使用的骨架前体的一个实例是具有以下结构的Si(OCH3)2(N(CH3)2)2
在又另一实施例中,骨架结构包括环状结构。例如,在这个实施例中,骨架前体可以是诸如(SiO)xH2x的环状烷氧基硅烷,其中,x大于或等于4,并且也小于或等于8。在一个实施例中,骨架前体可以是诸如环四硅氧烷、Si4O4H8的环状烷氧基硅烷,其具有以下结构:
然而,用于第一前体材料的上述材料旨在是示例性的,并且不旨在限制。相反,任何合适的材料可以用于第一前体材料。所有这样的材料完全旨在包括在实施例的范围内。
此外,第二前体材料可以放置到第二前体传送系统206。在实施例中,第二前体材料是多孔前体或烃基前体,烃基前体可以是非链型、环型或混合型的烃。在第二前体材料是非链型烃的实施例中,第二前体材料可以由化学式CH(R1)x(R2)y(R3)z表示,其中,R1、R2和R3可以独立地为CH3、C2H5、C3H7等。此外,第二前体材料中的x和y和z的总和等于3,同时第二前体材料中的x和y和z的每个小于或等于3。在特定实施例中,非链型烃可以是具有以下结构的CH(CH3)(CH3)(CH3):
在第二前体材料是非链型烃的另一实施例中,第二前体材料可以由化学式C(R1)w(R2)x(R3)y(R4)z表示,其中,R1、R2、R3和R4的每个可以独立地为CH3、C2H5、C3H7等,并且其中,w、x、y和z的总和等于4,同时w、x、y、z的每个大于或等于1。在特定实施例中,非链型烃可以是具有以下结构的C(CH3)(CH3)(CH3)(CH3):
在这些前体的反应期间,硅前体将与氧反应以形成Si-O-Si中间体,然后与致孔剂反应以将致孔剂结合到硅基前体中并且占据前体内的位点。在UV固化之后,致孔剂将消耗掉,留下第一介电层111。
在第二前体材料是环状烃的实施例中,环状烃可以仅包含碳-碳单键。在特定实施例中,环状烃可以是CxHy,其中,x小于或等于8,并且y小于或等于16。例如,在这样的实施例中,环状烃可以是具有以下结构的C6H12
在第二前体材料是环状烃的另一实施例中,环状烃可以包含至少一个碳-碳双键。在特定实施例中,环状烃可以是CxHy,其中,x小于或等于8,并且y小于或等于14。例如,在这样的实施例中,环状烃可以是具有以下结构的C4H4
在第二前体材料包括混合烃结构的实施例中,第二前体材料可以包含仅碳-碳单键、至少一个环状结构和至少一个支链碳基。在特定实施例中,第二前体材料包括CxHyRz,其中,R为CH3、C2H5、C3H7等,x大于或等于3并且还小于或等于8,z大于或等于1并且还小于或等于2x,并且y和z的总和等于2x。例如,在这样的实施例中,混合烃可以是具有以下结构的C3H3(CH3)3
在第二前体材料可以包含仅碳-碳单键、至少一个环状结构和至少一个支链碳基的另一实施例中,第二前体材料可以是CvHw(R1)x(R2)y(R3)z,其中R1、R2和R3的每个独立地为CH3、C2H5、C3H7等。此外,v大于或等于3并且还小于或等于8,x和y和z的总和大于或等于2,并且w和x和y和z的总和等于2v。例如,在这样的实施例中,混合烃可以是具有以下结构的C3H4(CH3)(C3H7):
在另一实施例中,混合烃包括至少一个环状结构,环状结构包括至少一个碳-碳双键和至少一个支链烃。在n是碳-碳双键的数目的特定实施例中,第二前体材料可以是CxHyRz,其中,R为CH3、C2H5、C3H7等,x大于或等于3并且还小于或等于8,y大于或等于1并且还小于或等于2x,并且y和z的总和等于2x-2n。例如,在这样的实施例中,混合烃可以是具有以下结构的C3H2(CH3)2
在第二前体材料是具有至少一个碳-碳双键的混合结构并且n是碳-碳双键的数目的另一实施例中,第二前体材料可以是CvHw(R1)x(R2)y(R3)z,其中R1、R2和R3的每个独立地为CH3、C2H5或C3H7,v大于或等于3并且还小于或等于8,x和y和z的总和大于或等于2,并且w和x和y和z的总和等于2v-2n。例如,在这样的实施例中,混合烃可以是具有以下结构的C5H6(CH3)(C2H5):
反应气体(或反应材料)可以放置到反应物传送系统208。在实施例中,反应气体是诸如O2、CO2、N2O、N2O2、这些的组合等的氧基反应气体。然而,可以利用任何合适的前体材料。在反应期间,同时一些碳将燃烧成CO或CO2,通过利用下面描述的参数,可以调节参数以最小化燃烧成CO和CO2的碳。
一旦已经将第一前体材料、第二前体材料和反应材料分别放置到第一前体传送系统205、第二前体传送系统206和反应物传送系统208,可以通过控制单元215发送指令到前体气体控制器214以将第一前体传送系统205、第二前体传送系统206和反应物传送系统208连接到沉积室203来开始第一介电层111的形成。一旦连接,第一前体传送系统205、第二前体传送系统206和反应物传送系统208可以通过前体气体控制器213和歧管216将第一前体材料、第二前体材料和反应材料传送到喷头217。然后喷头217可以将第一前体材料、第二前体材料和反应材料分散到沉积室203,其中,第一前体材料、第二前体材料和反应材料可以被吸收并且彼此反应以在第二蚀刻停止层109上形成第一介电层111。
在形成SiOC:H的层的实施例中,第一前体材料可以以介于约100mgm和约5000mgm之间的流量流至沉积室203,第二前体材料可以以介于约50mgm和约2000mgm之间(诸如约100mgm)的流量流至沉积室203,并且反应材料可以以介于约10sccm和约500sccm之间(诸如约100sccm)的流量流至沉积室。此外,沉积室203可以保持在介于约5托和约12托之间(诸如约9托)的压力下,以及介于约100℃和约400℃之间(诸如约200℃)的温度下。然而,如本领域技术人员将认识到的,这些工艺条件仅旨在是示例性的,可以利用任何合适的工艺条件,同时保持在实施例的范围内。
如图1B所示,使用如上所述的前体和参数形成第一介电层111,第一介电层111(具有基质材料和致孔剂材料)可以形成为具有孔,该孔具有多种尺寸。例如,第一介电层111可以形成为具有微针隔离孔113(例如,具有介于约和约之间的直径的孔)和微隔离孔115(例如,具有介于约和约之间的直径的孔)。除了具有捕获在单独的孔内的致孔剂材料之外,这些孔的每个可以具有侧壁,该侧壁以烃基(例如,CH3)封端。
此外,第一介电层111将额外地具有形成在基质材料内的沟道117。这些沟道117互连各个孔(例如,互连微针隔离孔113与微隔离孔115或其他微针隔离孔113)。此外,沟道117将具有侧壁,该侧壁不是由烃基封端,而是由OH基或H基封端。
由此,由于第一介电层111具有由OH和H基封端的沟道117,沟道117的侧壁不是由诸如CH3的烃基封端。在沟道内没有这些烃端基,沟道的侧壁将比可能的更彼此接近,并且可以减小或消除互连微针隔离孔113与微隔离孔115的更小的孔的存在。例如,沟道117的侧壁可以分隔开介于约和约之间(诸如约)的距离。
图1C示出,在沉积的膜已经形成有分散在基质材料内的致孔剂之后,从基质去除致孔剂,并且在第一介电层111内留下孔。在实施例中,通过紫外固化工艺实施致孔剂的去除。例如,可以固化第一介电层111介于约10s和约180s之间的时间,诸如约90s。然而,可以利用任何合适的时间。
此外,如本领域技术人员将认识到的,上述UV固化工艺不是可以用于从基质材料去除致孔剂的仅有的方法。可以可选地利用其他合适的工艺,诸如利用微波来分解致孔剂的热退火。去除所有或部分致孔剂的这些和任何其他合适的工艺均完全旨在包括在实施例的范围内。
而且,在去除致孔剂时,第一介电层111的固化也将引起沟道117内的反应。特别地,OH基和H基将分解并且彼此反应以形成水(H2O),水也将在UV固化期间去除。此外,由于不存在烃基,沟道117的侧壁足够近,使得OH和H基的反应留下的端基(例如,诸如悬空Si+键的端基)足够近而彼此反应,从而修复和关闭沟道117,并且进一步隔离微针隔离孔113与微隔离孔115。由此,第一介电层111的基质材料形成为没有或具有减少数量的沟道117,并且第一介电层111的孔(例如,微针隔离孔113与微隔离孔115)外部的基质材料可以形成为没有端部有机基团(例如,端甲基),同时孔仍可以包括端部有机基团。
通过减少或结束沟道117的存在,第一介电层111形成为无沟道多孔低k材料。此外,第一介电层111在甲苯中具有低于约10-7cm2/s的扩散率,并且也具有孔直径大于约的微针或微隔离孔结构。在第一介电层111形成为具有SiOC:H的特定实施例中,第一介电层111形成为具有小于约8at%的碳百分比。通过增加孔的隔离,第一介电层111的孔隙率可以介于约10%和约35%之间,使得k值介于约2.4和约3.5之间。此外,通过在孔的表面留下碳,孔表面保持疏水,并且更能抵抗湿化学蚀刻工艺。
图1D示出可以由第一介电层111获得的孔尺寸分布。在该实施例中,第一介电层111具有孔尺寸分布119(具有比例因子S),其中,S定义为10%峰值群体处的高边界直径DHB与峰值直径D峰值的比率。在这些实施例中,使用本文中描述的工艺和材料的孔尺寸分布具有小于或等于约1.8的比例因子S。这比其他沉积工艺小得多,其他沉积工艺可能具有诸如2.6或3.3的比例因子S。
在另一特定实施例中,第一前体可以包括至少一个烷氧基和至少一个氨基(例如,Si(OCH3)2(N(CH3)2)2),并且第二前体包括具有两个双键的环状烃(例如,C4H4)。在这样的实施例中,Si键将容易断裂,并且然后Si将与氧反应,从而使得致孔剂结合Si-O键。在UV固化以去除致孔剂之后,获得的第一介电层111将具有介于约2.8和约3.1之间的k值。此外,碳百分比可以介于约6at%和约8at%之间,孔隙率介于约18%和约30%之间。
在第一前体包括烷氧基硅烷或氨基硅烷(例如,SiH(N(C2H5)2)3)并且第二前体包括具有单键的非链型烃(例如,CH(CH3)(CH3)(CH3))的另一特定实施例中,烷氧基硅烷或氨基硅烷将分裂为Si-(N(CH3)2)2,Si-(N(CH3)2)2将容易地与具有单键的非链型烃反应以形成第一介电层111。在这个实施例中,第一介电层111可以获得介于约3.0和约3.5之间的k值。此外,碳百分比可以介于约5at%和约7at%之间,孔隙率介于约10%和约20%之间。
在第一前体包括环状烷氧基硅烷基前体(例如,(SiO)4H8)并且第二前体包括具有一个碳-碳双键的混合环状结构(例如,C5H6(CH3)(C2H5))的另一特定实施例中,第一介电层111可以获得介于约2.4和约3.0之间的k值。此外,碳百分比可以介于约4at%和约6at%之间,孔隙率介于约28%和约35%之间。
图4示出了抗反射层401、第一硬掩模403以及位于第一硬掩模403上方的第一光刻胶405的放置。在实施例中,抗反射层401可以是无氮抗反射涂层,并且可以包括聚合物树脂、催化剂和交联剂,聚合物树脂、催化剂和交联剂均放置在溶剂中以分散。一旦已经制备用于抗反射层401的材料,可以通过在第一介电层111上施加用于抗反射层401的材料来利用抗反射层401的材料。可以将用于抗反射层401的材料施加到第一介电层111,使得用于抗反射层401的材料涂布第一介电层111的暴露上表面,并且可以使用诸如旋涂工艺、浸涂工艺、气刀涂布方法、帘式涂布方法、线棒涂布方法、凹面涂布方法、层压方法、挤出涂布方法、这些的组合等施加。在实施例中,可以施加用于抗反射层401的材料,使得抗反射层401具有介于约50nm和约500nm之间(诸如约300nm)的厚度。
一旦已经形成抗反射层401,可以在抗反射层401上方形成第一硬掩模403。在实施例中,第一硬掩模403可以是诸如氮化钛(TiN)的掩蔽材料,但是可以使用诸如氧化钛的任何其他合适的材料。可以使用诸如化学气相沉积、物理气相沉积、原子层沉积、这些的组合等的沉积工艺形成第一硬掩模403,并且第一硬掩模403可以形成至介于约和约之间(诸如约)的初始厚度。然而,可以利用任何合适的厚度。
一旦形成,可以图案化第一硬掩模403,以为形成一个或多个沟槽开口409的随后的蚀刻工艺(例如,下面描述的第一蚀刻工艺407)提供掩蔽层。在实施例中,可以通过在第一硬掩模403上方放置第一光刻胶405以及然后曝光和显影第一光刻胶405以形成图案化的光刻胶来图案化第一硬掩模403。一旦已经图案化第一光刻胶405,然后使用诸如反应离子蚀刻工艺的各向异性蚀刻工艺将第一光刻胶405的图案传递至第一硬掩模403。然而,可以利用任何合适的工艺。
在实施例中,可以图案化第一硬掩模403以形成用于沟道开口409的掩模。由此,可以图案化第一硬掩模403,使得沟道开口409具有介于约10nm和约300nm之间(诸如约40nm)的第一宽度W1。然而,可以利用任何合适的尺寸。
一旦已经图案化第一硬掩模403,可以使用第一蚀刻工艺(在图4中由标记为407的波浪线表示)将第一硬掩模403的图案传递至第一介电层111。在实施例中,例如,第一蚀刻工艺407可以是诸如反应离子蚀刻(具有适合于蚀刻第一介电层111的蚀刻剂(诸如CF4或C2F6))的各向异性蚀刻工艺。然而,可以利用任何合适的蚀刻方法或蚀刻方法的组合。
通过使用第一蚀刻工艺407和第一硬掩模403,将第一硬掩模403的图案传递至抗反射层401并且然后传递至第一介电层111以形成沟槽开口409。在实施例中,从第一硬掩模403至第一介电层111的图案的传递有助于在第一介电层111内形成互连件801的沟槽部分805。在特定实施例中,互连件801的沟槽部分805可以形成为在第一介电层111内延伸第一深度D1,第一深度D1介于约和约之间,诸如约然而,可以利用任何合适的深度。
图5示出,一旦已经形成沟槽开口409,去除第一光刻胶405。在实施例中,使用诸如灰化的工艺去除第一光刻胶405,由此增加第一光刻胶405的温度,直到第一光刻胶405经历热分解,此时可以容易地去除第一光刻胶405。然而,可以使用诸如湿蚀刻或干蚀刻的任何其他合适的工艺,或甚至使用与形成沟槽开口409相同的蚀刻工艺去除第一光刻胶405。
一旦已经去除第一光刻胶405,可以沉积和图案化第二光刻胶501以形成开口,以辅助通过沟槽开口409图案化通孔开口503。在实施例中,第二光刻胶501是三层光刻胶,具有底部抗反射涂(BARC)层、中间掩模层和顶部光刻胶层(在图5中未单独示出)。然而,可以利用任何合适类型的光敏材料或材料的组合。
一旦已经在第一硬掩模403和沟槽开口409上方放置第二光刻胶501,图案化第二光刻胶501。在实施例中,可以例如通过中间掩模通过将第二光刻胶501内的光敏材料(例如,三层光刻胶中的顶部光刻胶层)暴露于图案化的能量源(例如,光)来图案化第二光刻胶501。能量的撞击将引起受到图案化的能量源撞击的光敏材料的那些部分中的化学反应,从而改变光刻胶的曝光部分的物理性质,使得第二光刻胶501的曝光部分的物理性质与第二光刻胶501的未曝光部分的物理性质不同。然后可以用例如显影剂(未单独示出)显影第二光刻胶501,以将第二光刻胶501的曝光部分与第二光刻胶501的未曝光部分分离,并且顶部光刻胶层的图案可以延伸穿过中间掩模层和底部抗反射涂层。
在实施例中,可以图案化第二光刻胶501,使得第二光刻胶501可以用于形成穿过第一介电层111的通孔开口503。由此,第二光刻胶501可以形成为具有第二宽度W2的开口,第二宽度W2介于约10nm和300nm之间(诸如约40nm)。然而,可以利用任何合适的尺寸。
一旦已经放置和图案化第二光刻胶501,第二蚀刻工艺(在图5中由标记为505的波浪线表示)可以用于形成通孔开口503,通孔开口503将被填充以形成互连件801的通孔部分803。在实施例中,第二蚀刻工艺505可以类似于第一蚀刻工艺407。例如,第二蚀刻工艺505可以是诸如反应离子蚀刻工艺的各向异性蚀刻工艺,该各向异性蚀刻工艺利用对第一介电层111具有选择性的蚀刻剂。然而,可以利用任何合适的蚀刻工艺或蚀刻剂。
第二蚀刻工艺505从沟槽开口409延伸通孔开口503,一直穿过第一介电层111,并且将暴露下面的第二蚀刻停止层109,第二蚀刻停止层109的材料选择为停止或至少减慢第二蚀刻工艺505,并且防止第二蚀刻工艺505蚀刻穿过第二蚀刻停止层109。
图6示出通孔开口503可以延伸穿过第二蚀刻停止层109。在实施例中,利用第二湿蚀刻工艺实施穿过第二蚀刻停止层109的通孔开口503的突破。在实施例中,第二湿蚀刻工艺可以利用液体第二蚀刻剂,液体第二蚀刻剂与第二蚀刻停止层109以及结构的剩余部分接触。例如,第二蚀刻停止层109可以浸入介于约25℃和约80℃之间(诸如约60℃)的温度的第二蚀刻剂的液体池。然而,可以利用使第二蚀刻剂与第二蚀刻停止层109接触的任何合适的方法。
图6示出可以用于形成互连件801的进一步处理。在实施例中,在已经图案化第二蚀刻停止层109以延伸通孔开口503之后,通孔开口503可以延伸穿过第一蚀刻停止层107。在实施例中,通孔开口503穿过第一蚀刻停止层107的延伸暴露了下面的导电元件105,并且可以使用例如湿或干蚀刻工艺实施。然而,可以利用任何合适的图案化或去除工艺。
此外,此时或工艺期间的任何其他合适的点,可以去除第二光刻胶501。在实施例中,使用诸如灰化的工艺去除第二光刻胶501,由此增加第二光刻胶501的温度,直到第二光刻胶501经历热分解,此时可以容易地去除第二光刻胶501。然而,可以使用诸如湿或干蚀刻的任何其他合适的工艺。
一旦已经暴露导电元件105,可以实施可选择的清洗工艺以制备通孔开口503和沟槽开口409的表面。在实施例中,在形成第一阻挡层701(在图6中未示出,但是下面参照图7示出和描述)之前可以利用可选择的等离子体灰化清洗工艺,以清洗和制备沟槽开口409和通孔开口503的侧壁,以接收第一阻挡层701。可以通过由诸如氧气等的清洗前体生成等离子体以及然后将第一介电层111暴露于诸如氮气、氩气等的惰性环境内的等离子体来实施等离子体灰化清洗工艺。然而,可以可选地利用任何合适的清洗工艺。
图7示出了,在已经完成清洗工艺之后,可以沉积第一阻挡层701以帮助隔离和保护随后形成的导电材料(下面进一步讨论)。在实施例中,第一阻挡层701可以包括诸如钛、氮化钛、这些的组合等的阻挡材料,并且可以使用诸如化学气相沉积(CVD)、物理气相沉积(PVD)、原子层沉积(ALD)等的工艺形成。第一阻挡层701可以形成为具有介于约0.1μm和约20μm之间(诸如约0.5μm)的厚度。然而,可以利用任何合适的材料、工艺或厚度。
图8示出了,一旦已经形成第一阻挡层701以内衬通孔开口503和沟槽开口409,用导电材料填充通孔开口503和沟槽开口409以形成互连件801,互连件801具有通孔部分803(通孔开口503内)和沟槽部分805(沟道开口409内,并且示出为使用虚线与通孔部分803分隔开,但是可以或可以不存在分离的物理标识)。导电材料可以包括铜,但是可以可选地利用其它合适的材料,诸如铝、合金、掺杂的多晶硅、它们的组合等。可以通过沉积晶种层(未单独示出),在晶种层上电镀铜以及过填充通孔开口503和沟槽开口409来形成导电材料。一旦已经填充通孔开口503和沟槽开口409,可以通过诸如化学机械抛光(CMP)的平坦化工艺去除通孔开口503和沟槽开口409外部的第一阻挡层701、晶种层、抗反射层401、第一硬掩模403、第一光刻胶405和导电材料的过量部分,但是可以使用任何合适的去除工艺。
图9示出了另一实施例,其中,上述工艺用于单镶嵌工艺(不是如以上关于图1A至图8所述的双镶嵌工艺)。在该实施例中,一个或多个蚀刻工艺用于形成通孔,该通孔延伸穿过第一介电层111、第二蚀刻停止层109和第一蚀刻停止层107。一旦已经形成开口,第一阻挡层701和导电材料可以填充开口,并且然后可以使用例如诸如化学机械抛光工艺的平坦化工艺去除开口外部的过量材料。然而,可以利用任何合适的工艺。
根据实施例,提供了一种制造半导体器件的方法,包括:在衬底上引入第一前体,其中,第一前体包括硅骨架前体。将第二前体引入至衬底上,使得第一前体和第二前体彼此反应以形成具有致孔剂的介电层,第二前体包括烃。从介电层去除致孔剂以形成多孔介电层。
在上述方法中,其中,所述基质前体包括烷氧基硅烷基。
在上述方法中,其中,所述基质前体包括氨基硅烷基。
在上述方法中,其中,所述基质前体包括氨基硅烷基和烷氧基硅烷基。
在上述方法中,其中,所述基质前体包括环状烷氧基硅烷。
在上述方法中,其中,所述致孔剂前体包括环状结构。
在上述方法中,其中,所述致孔剂前体包括非链型基团。
根据另一实施例,提供了一种制造半导体器件的方法,包括:使用化学气相沉积工艺在衬底上沉积介电层,其中,化学气相沉积工艺利用至少烃致孔剂前体和硅骨架前体。固化介电层以从硅基基质内的孔去除致孔剂,其中,该固化也关闭了硅基基质内的沟道。
在上述方法中,其中,固化所述介电层还包括去除所述致孔剂,同时留下所述隔离孔,其中,所述隔离孔具有疏水表面。
在上述方法中,其中,所述隔离孔具有介于约和约之间的孔直径。
在上述方法中,其中,所述隔离孔具有介于之间的孔直径。
在上述方法中,其中,所述隔离孔包括微针隔离孔和微隔离孔。
在上述方法中,其中,在固化所述介电层之后,所述介电层具有小于或等于1.8的比例因子。
在上述方法中,其中,至少部分地用紫外固化工艺实施固化所述介电层。
根据另一实施例,提供了一种半导体器件,包括:导电结构,嵌入在衬底内。低k介电材料位于衬底上方,其中,低k介电材料在甲苯中具有低于10-7cm2/s的扩散率以及大于约的孔直径。
在上述半导体器件中,其中,所述低k介电材料是SiOC:H。
在上述半导体器件中,其中,所述SiOC:H的碳含量小于8at%。
在上述半导体器件中,其中,所述低k介电材料具有介于10%和35%之间的孔隙率。
在上述半导体器件中,其中,所述低k介电材料具有小于或等于1.8的比例因子。
在上述半导体器件中,其中,所述低k介电材料具有介于2.4和3.5之间的k值。
上面概述了若干实施例的特征,使得本领域技术人员可以更好地理解本发明的各方面。本领域技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与在此所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,在此他们可以做出多种变化、替换以及改变。

Claims (10)

1.一种制造半导体器件的方法,所述方法包括:
在衬底上引入第一前体,其中,所述第一前体是基质前体;
将第二前体引入至所述衬底上,使得所述第一前体和所述第二前体彼此反应以形成具有致孔剂的介电层,所述第二前体是致孔剂前体并且包括烃;以及
从所述介电层去除所述致孔剂,其中,去除所述致孔剂也关闭所述介电层内的一个或多个沟道。
2.根据权利要求1所述的方法,其中,所述基质前体包括烷氧基硅烷基。
3.根据权利要求1所述的方法,其中,所述基质前体包括氨基硅烷基。
4.根据权利要求1所述的方法,其中,所述基质前体包括氨基硅烷基和烷氧基硅烷基。
5.根据权利要求1所述的方法,其中,所述基质前体包括环状烷氧基硅烷。
6.根据权利要求1所述的方法,其中,所述致孔剂前体包括环状结构。
7.根据权利要求1所述的方法,其中,所述致孔剂前体包括非链型基团。
8.一种制造半导体器件的方法,所述方法包括:
使用化学气相沉积工艺在衬底上沉积介电层,其中,所述化学气相沉积工艺利用烃致孔剂和基质前体;以及
固化所述介电层以交联Si-O-Si并且去除所述烃致孔剂以形成具有隔离孔的无沟道低k膜。
9.根据权利要求8所述的方法,其中,固化所述介电层还包括去除所述烃致孔剂,同时留下所述隔离孔,其中,所述隔离孔具有疏水表面。
10.一种半导体器件,包括:
导电结构,嵌入在衬底内;以及
低k介电材料,位于所述衬底上方,其中,所述低k介电材料在甲苯中具有低于10-7cm2/s的扩散率并且具有大于的孔直径。
CN201810834870.7A 2017-07-31 2018-07-26 半导体器件和方法 Active CN109326556B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762539270P 2017-07-31 2017-07-31
US62/539,270 2017-07-31
US15/871,528 US10361137B2 (en) 2017-07-31 2018-01-15 Semiconductor device and method
US15/871,528 2018-01-15

Publications (2)

Publication Number Publication Date
CN109326556A true CN109326556A (zh) 2019-02-12
CN109326556B CN109326556B (zh) 2022-09-13

Family

ID=65038819

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810834870.7A Active CN109326556B (zh) 2017-07-31 2018-07-26 半导体器件和方法

Country Status (4)

Country Link
US (3) US10361137B2 (zh)
KR (1) KR102033779B1 (zh)
CN (1) CN109326556B (zh)
TW (1) TWI695081B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10361137B2 (en) * 2017-07-31 2019-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
US11069570B2 (en) 2018-10-31 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming an interconnect structure
WO2023230406A1 (en) * 2022-05-24 2023-11-30 Lam Research Corporation Underlayer with bonded dopants for photolithography

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1373512A (zh) * 2001-02-28 2002-10-09 国际商业机器公司 具有精确导体的互连结构
CN1389591A (zh) * 2001-05-23 2003-01-08 气体产品与化学公司 低介电常数材料以及通过cvd的加工方法
CN1479804A (zh) * 2000-10-25 2004-03-03 �Ҵ���˾ 作为在半导体器件中的层内和层间绝缘体的超低介电常数材料及其制造方法、以及包含该材料的电子器件
US20060135633A1 (en) * 2004-11-03 2006-06-22 Samsung Corning Co., Ltd. Porous low-dielectric constant (k) thin film with controlled solvent diffusion
US20110204525A1 (en) * 2009-01-13 2011-08-25 Panasonic Corporation Semiconductor device and fabrication method for the same
CN103199056A (zh) * 2012-01-10 2013-07-10 国际商业机器公司 具有高机械强度的介电材料

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6342454B1 (en) * 1999-11-16 2002-01-29 International Business Machines Corporation Electronic devices with dielectric compositions and method for their manufacture
US6548892B1 (en) * 2000-08-31 2003-04-15 Agere Systems Inc. Low k dielectric insulator and method of forming semiconductor circuit structures
US20030006477A1 (en) * 2001-05-23 2003-01-09 Shipley Company, L.L.C. Porous materials
DE10162443A1 (de) * 2001-12-19 2003-07-03 Bayer Ag Verfahren zur Herstellung von dielektrischen Schichten unter Verwendung multifunktioneller Carbosilane
US6846515B2 (en) 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US6713873B1 (en) * 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US8399349B2 (en) * 2006-04-18 2013-03-19 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
US7906174B1 (en) * 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US20110006406A1 (en) * 2009-07-08 2011-01-13 Imec Fabrication of porogen residues free and mechanically robust low-k materials
WO2013168159A1 (en) * 2012-05-07 2013-11-14 Ramot At Tel-Aviv University Ltd. Composition and method for forming a dielectric layer
US8753449B2 (en) * 2012-06-25 2014-06-17 Applied Materials, Inc. Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
US9941157B2 (en) 2015-06-26 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Porogen bonded gap filling material in semiconductor manufacturing
US10090150B2 (en) * 2016-09-06 2018-10-02 Wisconsin Alumni Research Foundation Low dielectric constant (low-k) dielectric and method of forming the same
US10361137B2 (en) * 2017-07-31 2019-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1479804A (zh) * 2000-10-25 2004-03-03 �Ҵ���˾ 作为在半导体器件中的层内和层间绝缘体的超低介电常数材料及其制造方法、以及包含该材料的电子器件
CN1373512A (zh) * 2001-02-28 2002-10-09 国际商业机器公司 具有精确导体的互连结构
CN1389591A (zh) * 2001-05-23 2003-01-08 气体产品与化学公司 低介电常数材料以及通过cvd的加工方法
US20060135633A1 (en) * 2004-11-03 2006-06-22 Samsung Corning Co., Ltd. Porous low-dielectric constant (k) thin film with controlled solvent diffusion
US20110204525A1 (en) * 2009-01-13 2011-08-25 Panasonic Corporation Semiconductor device and fabrication method for the same
CN103199056A (zh) * 2012-01-10 2013-07-10 国际商业机器公司 具有高机械强度的介电材料

Also Published As

Publication number Publication date
TW201920731A (zh) 2019-06-01
KR102033779B1 (ko) 2019-10-17
US10361137B2 (en) 2019-07-23
US10991636B2 (en) 2021-04-27
US20210249318A1 (en) 2021-08-12
CN109326556B (zh) 2022-09-13
KR20190013449A (ko) 2019-02-11
TWI695081B (zh) 2020-06-01
US11837515B2 (en) 2023-12-05
US20190348337A1 (en) 2019-11-14
US20190035704A1 (en) 2019-01-31

Similar Documents

Publication Publication Date Title
CN109326556A (zh) 半导体器件和方法
TWI657535B (zh) 用於半導體裝置中之金屬內連線的介電阻障層之選擇性形成
US20230260829A1 (en) Patterning methods for semiconductor devices
CN103975419B (zh) 等离子体活化保形电介质膜沉积
EP1848032B1 (en) Materials and methods of forming controlled voids in dielectric layers
CN101495674B (zh) 多孔质绝缘膜的形成方法
CN103243310B (zh) 在衬底表面上的等离子体激活的保形膜沉积的方法
CN101419915A (zh) 得到具有优良抗蚀刻性的低k电介质阻挡层的方法
CN107533962A (zh) 经由原子层沉积(ald)循环选择性沉积金属硅化物的方法
CN102844856A (zh) 在通孔和刻蚀结构中形成并图案化共形绝缘层的方法
CN110419093B (zh) 通过改善氧化硅的成核/粘附来改善膜粗糙度的处理方法
JP2019515493A (ja) 自己組織化単分子層処理のための化学物質供給チャンバ
CN107689397A (zh) 半导体器件及其制造方法
KR102651279B1 (ko) 유동가능 갭-충전 유전체로부터의 디라미네이션을 억제하기 위한 기술들
CN109791914A (zh) 用于互连结构的复合介电界面层
KR20190132950A (ko) 에칭 중의 로우-k 트렌치 보호용 원자층 성막
CN102915954A (zh) 低k介电层和成孔剂
CN101548375A (zh) 半导体装置以及其制造方法
US20230386947A1 (en) Semiconductor device and method
TW473924B (en) Method for reducing leakage current of interconnect dielectric layer in dual damascene process
CN102005407B (zh) 接触插塞及接触插塞的形成方法
TW202403845A (zh) 用於dram電容器模具圖案化之碳化釕

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant