TW201920731A - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TW201920731A
TW201920731A TW107126489A TW107126489A TW201920731A TW 201920731 A TW201920731 A TW 201920731A TW 107126489 A TW107126489 A TW 107126489A TW 107126489 A TW107126489 A TW 107126489A TW 201920731 A TW201920731 A TW 201920731A
Authority
TW
Taiwan
Prior art keywords
precursor
semiconductor device
item
dielectric layer
manufacturing
Prior art date
Application number
TW107126489A
Other languages
English (en)
Other versions
TWI695081B (zh
Inventor
潘尹捷
彭羽筠
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201920731A publication Critical patent/TW201920731A/zh
Application granted granted Critical
Publication of TWI695081B publication Critical patent/TWI695081B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric

Abstract

本發明實施例提供一種半導體結構及其製造方法,包括形成無通道多孔低介電常數材料。可使用矽主鏈前驅物及烴前驅物形成上述材料,進而形成基質材料。接著可固化上述材料以移除成孔劑並幫助使上述材料內的通道塌陷。藉此,上述材料可形成為具有小於或等於約1.8的比例因數。

Description

半導體裝置及其製造方法
本發明實施例係關於半導體結構及其形成方法。
半導體裝置使用於各種電子應用中,例如個人電腦、手機、數位相機及其他電子設備。通常藉由下述以製造半導體裝置:依序地在半導體基底上沉積絕緣或介電層、導電層及半導體層,以及使用微影來圖案化各種材料層以在其上形成電路組件和元件。
半導體產業藉由持續減小最小特徵尺寸以持續地改良各種電子組件(例如:電晶體、二極體、電阻器、電容器等)的積體密度,這允許更多組件被整合至給定區域中。然而,隨著最小特徵尺寸的減小,所使用的每個製程中都會出現額外的問題,而這些問題應被解決。
根據一實施例,提供一種半導體裝置的製造方法,包括:引入第一前驅物至基底上,其中第一前驅物包括矽主鏈前驅物。引入第二前驅物至基底上,使得第一前驅物與第二前驅物彼此反應,以形成具有成孔劑的介電層,第二前驅物包括烴。從介電層移除成孔劑以形成多孔介電層。
根據另一實施例,提供一種半導體裝置的製造方法,包括:使用化學氣相沉積製程沉積介電層至基底上,其中化學氣相沉積製程利用至少一烴成孔劑前驅物及一矽主鏈前驅物。固化介電層以從矽系基質內的孔洞移除成孔劑,其中固化亦關閉矽系基質內的通道。
根據又一實施例,提供一種半導體裝置,其包括嵌入在基底內的導電結構。低介電常數介電材料位於基底上方,其中低介電常數介電材料具有在甲苯中低於10-7cm2/s的擴散係數(diffusivity)以及大於約11Å的孔徑。
101‧‧‧基底
103‧‧‧金屬化層
105‧‧‧導電元件
107‧‧‧第一蝕刻停止層
109‧‧‧第二蝕刻停止層
111‧‧‧第一介電層
113‧‧‧介觀隔離孔洞
115‧‧‧微觀隔離孔洞
117‧‧‧通道
119‧‧‧孔洞尺寸分佈
200‧‧‧沉積系統
203‧‧‧沉積腔室
205‧‧‧第一前驅物輸送系統
206‧‧‧第二前驅物輸送系統
207‧‧‧氣體供應器
208‧‧‧反應物輸送系統
209‧‧‧流量控制器
211‧‧‧前驅物罐
213‧‧‧氣體控制器
215‧‧‧控制單元
216‧‧‧歧管
217‧‧‧噴頭
219‧‧‧殼體
221‧‧‧安裝平台
223‧‧‧真空泵
225‧‧‧排氣出口
301‧‧‧處理單元
303‧‧‧顯示器
305‧‧‧輸入/輸出組件
306‧‧‧中央處理單元
308‧‧‧記憶體
310‧‧‧大容量儲存裝置
312‧‧‧匯流排
314‧‧‧視訊配接器
316‧‧‧輸入/輸出介面
318‧‧‧網路介面
320‧‧‧區域網路/廣域網路
401‧‧‧抗反射層
403‧‧‧第一硬罩幕
405‧‧‧第一光阻
407‧‧‧第一蝕刻製程
409‧‧‧溝槽開口
501‧‧‧第二光阻
503‧‧‧通孔開口
505‧‧‧第二蝕刻製程
701‧‧‧第一阻障層
801‧‧‧互連件
803‧‧‧通孔部分
805‧‧‧溝槽部分
T1‧‧‧第一厚度
S‧‧‧比例因數
DHB‧‧‧高邊界直徑
Dpeak‧‧‧峰值直徑
以下將配合所附圖式詳述本揭露之實施例,應注意的是,依照工業上的標準實施,以下圖示並未按照比例繪製,事實上,可能任意的放大或縮小元件的尺寸以便清楚表現出本揭露的特徵。而在說明書及圖式中,除了特別說明外,同樣或類似的元件將以類似的符號表示。
第1A-1D圖繪示根據一些實施例之第一介電層的形成。
第2圖顯示根據一些實施例之沉積腔室。
第3圖顯示根據一些實施例之用於沉積腔室的控制單元。
第4圖顯示根據一些實施例之開口的形成。
第5圖顯示根據一些實施例之通孔的形成。
第6圖顯示根據一些實施例之光阻的移除。
第7圖顯示根據一些實施例之阻障層的形成。
第8圖顯示根據一些實施例之互連件的形成。
第9圖顯示根據一些實施例,互連件在單一鑲嵌製程中的 形成。
以下提供許多不同的實施方法或是例子來實行各種實施例之不同特徵。以下描述具體的元件及其排列的例子以闡述本揭露。當然這些僅是例子且不該以此限定本揭露的範圍。例如,元件的尺寸並不限定於所揭露的範圍或數值,而是取決於製程條件及/或裝置所期望的性質。此外,在描述中提及第一個元件形成於第二個元件上時,其可以包括第一個元件與第二個元件直接接觸的實施例,也可以包括有其他元件形成於第一個與第二個元件之間的實施例,其中第一個元件與第二個元件並未直接接觸。為簡化及清楚起見,各種特徵可任意繪製成不同尺寸。
此外,其中可能用到與空間相關的用詞,像是“在…下方”、“下方”、“較低的”、“上方”、“較高的”及類似的用詞,這些關係詞係為了便於描述圖示中一個(些)元件或特徵與另一個(些)元件或特徵之間的關係。這些空間關係詞包括使用中或操作中的裝置之不同方位,以及圖示中所描述的方位。裝置可能被轉向不同方位(旋轉90度或其他方位),則其中使用的空間相關形容詞也可相同地照著解釋。
以下將針對介電材料來描述實施例,該介電材料係用作後端線互連製程之金屬間介電層。然而,這些實施例並非意在限於此種用途。
請參照第1A圖,第1A圖顯示具有主動裝置(未單獨示出)的基底101、基底101上方的金屬化層103、金屬化層 103內的導電元件105、第一蝕刻停止層107、可選的第二蝕刻停止層109及第一介電層111。在一實施例中,基底101可包括摻雜或未摻雜之塊狀(bulk)矽,或絕緣體上矽(silicon-on-insulator,SOI)基底的主動層。一般而言,絕緣體上矽(SOI)基底包括半導體材料的層,例如:矽、鍺、矽鍺、絕緣體上矽(SOI)、絕緣體上矽鍺(silicon germanium on insulator,SGOI)或上述之組合。其他可使用的基底包括多層基底、梯度基底或混合定向基底(hybrid orientation substrates)。
主動裝置可包括諸如電晶體等之各種主動裝置,以及諸如電容器、電阻器、電感器等之被動裝置,其可以用於產生設計所期望的結構和功能部分。可使用基底101內或基底101上的任何合適方法來形成主動裝置和被動裝置。
金屬化層103形成於基底101及主動裝置上,並設計為連接各種主動裝置以形成用於此設計的功能電路。在一實施例中,金屬化層由介電質和導電材料之交替層所形成,且可透過任何合適的製程(例如沉積、鑲嵌、雙重鑲嵌等)所形成。在一實施例中,可存在一至十二層金屬化層,其與基底101被至少一個層間介電層(interlayer dielectric layer,ILD)所分離,但金屬化層的確切數量係取決於設計。
導電元件105可形成在金屬化層103的上部,且為將實現物理和電性連接至互連件801(在第1A圖中未繪示,但以下將於第8圖中繪示並描述)的區域。在一實施例中,導電元件105可為諸如銅的材料,其係使用例如鑲嵌或雙重鑲嵌製程所形成,藉此在金屬化層103的上部內形成開口,此開口被 填充及/或過度填充有諸如銅的導電材料,並實行平坦化製程以將導電材料嵌入金屬化層103之中。然而,可使用任何合適的材料和任何合適的製程以形成導電元件105。
第一蝕刻停止層107係用以保護下層結構,並針對通過例如第二蝕刻停止層109之後續蝕刻製程提供控制點。在一實施例中,第一蝕刻停止層107可由氧化矽、氮化矽、碳氮化矽(SiCN)、碳氧化矽(SiCO)或諸如氧化鋁(AlOx)、AlNx、AlOxNy、TiOx、TiOxNy、ZnOx、MnOx之金屬氧化物或氮化物、上述之組合等所形成。可使用電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)、電漿輔助原子層沉積(plasma enhanced atomic layer deposition,PEALD)、熱原子層沉積或物理氣相沉積製程來形成第一蝕刻停止層107。第一蝕刻停止層107可具有約5Å至約100Å的厚度,例如約30Å。
一旦形成第一蝕刻停止層107以覆蓋導電元件105,形成第二蝕刻停止層109於第一蝕刻停止層107上方以保護下層結構,並針對通過例如第一介電層111之後續蝕刻製程提供控制點。在一實施例中,第二蝕刻停止層109可由氧化矽、氮化矽、碳氮化矽(SiCN)、碳氧化矽(SiCO)或諸如氧化鋁(AlOx)、AlNx、AlOxNy、TiOx、TiOxNy、ZnOx、MnOx之金屬氧化物或氮化物、上述之組合等所形成。可使用電漿輔助化學氣相沉積(PECVD)、電漿輔助原子層沉積(PEALD)、熱原子層沉積或物理氣相沉積製程來形成第二蝕刻停止層109。第二蝕刻停止層109可具有約25Å至約100Å的厚度,例如 約40Å。
一旦形成第二蝕刻停止層109,可形成第一介電層111,用以幫助互連件801與其他相鄰的電佈線隔離。在一實施例中,第一介電層111可為例如意在幫助互連件801與其他結構隔離之低介電常數(low-k)介電膜。藉由隔離互連件801,可降低互連件801的電阻電容(resistance-capacitance,RC)延遲,從而提高通過互連件801的整體效率和電力速度。
在一實施例中,第一介電層111可為諸如SiOC:H之低擴散係數且無通道(channel-less)的多孔低介電常數(low-k)材料,且可藉由在第二蝕刻停止層109上方初步形成剛沉積的膜(as-deposited film)以形成第一介電層111。剛沉積的膜可包括基質材料及散佈在基質材料內(與基質材料共價鍵結或散佈但未與基質材料鍵結)的成孔劑。在一實施例中,可例如藉由使用化學氣相沉積製程(例如:電漿輔助化學氣相沉積(PECVD)、低壓化學氣相沉積(low pressure CVD,LPCVD)、熱化學氣相沉積(thermal CVD)、上述之組合等)來共沉積基質材料和成孔劑以形成剛沉積的膜,其中基質材料與成孔劑同時沉積,從而形成基質材料與成孔劑混合在一起之剛沉積的膜。然而,可利用任何合適的製程。
剛沉積的膜可被形成為足以提供第一介電層111所需的隔離和佈線特性之厚度。在一實施例中,剛沉積的膜可形成為具有約100Å至約2000Å的第一厚度T1,例如約500Å。然而,這些厚度僅是為了說明,而並非意在限制實施例的範圍,且剛沉積的膜之確切厚度可為任何合適的期望厚度。
第2-3圖顯示沉積系統200,其可用以從第一前驅物輸送系統205、第二前驅物輸送系統206和反應物輸送系統208接收前驅物材料,並形成材料的層至基底101上。在一實施例中,第一前驅物輸送系統205、第二前驅物輸送系統206和反應物輸送系統208可彼此協同工作以將各種不同的前驅物材料供應至放置基板101的沉積腔室203。然而,第一前驅物輸送系統205、第二前驅物輸送系統206和反應物輸送系統208可具有彼此相似的物理組件。
舉例而言,第一前驅物輸送系統205、第二前驅物輸送系統206和反應物輸送系統208可各自包括氣體供應器207和流量控制器209(於第2圖中標記關於第一前驅物輸送系統205,但為了清楚起見並未標記關於第二前驅物輸送系統206或反應物輸送系統208)。在第一處理前驅物以氣態儲存的實施例中,氣體供應器207可將第一處理前驅物供應至沉積腔室203。氣體供應器207可為諸如儲氣罐之容器,其可位於沉積腔室203附近或位於遠離沉積腔室203的位置。或者,氣體供應器207可為獨立準備並輸送第一處理前驅物至流量控制器209的設備。可將第一處理前驅物之任何合適的來源用作氣體供應器207,且所有這些來源皆完全意在包含於實施例的範圍內。
氣體供應器207可將期望的前驅物供應至流量控制器209。流量控制器209可用以控制前驅物至前驅物氣體控制器213的流動,且最終到達沉積腔室203,從而亦有助於控制沉積腔室203內的壓力。流量控制器209可為例如比例閥、調節閥、針閥、壓力調節器、質量流量控制器(mass flow controller), 上述之組合等。然而,可使用用於控制並調節載體氣體至前驅物罐211的流動之任何合適的方法,且所有這些組件和方法皆完全意在包含於實施例的範圍內。
然而,如所屬技術領域具有通常知識者所理解,雖然在此所描述之第一前驅物輸送系統205、第二前驅物輸送系統206及反應物輸送系統208具有相同的組件,但這僅為說明性範例,而並非意在以任何方式限制實施例。可利用任何類型之合適的前驅物輸送系統,其具有與沉積系統200內的任何其他前驅物輸送系統相同或不同之任何類型和數量的個別組件。所有這些前驅物系統皆完全意在包含於實施例的範圍內。
此外,在第一處理前驅物以固態或液態儲存的實施例中,氣體供應器207可儲存載體氣體,且載體氣體可被引入至前驅物罐(未單獨示出)中,此前驅物罐以固態或液態儲存第一處理前驅物。接著,由於載體氣體在被送至前驅物氣體控制器213之前蒸發或昇華成前驅物罐的氣體部分,其用以推送和攜帶第一處理前驅物。可利用任何合適的方法和單元的組合以提供第一處理前驅物,且所有這些單元的組合完全意在包含於實施例的範圍內。
第一前驅物輸送系統205、第二前驅物輸送系統206和反應物輸送系統208可將其各自的前驅物材料供應至前驅物氣體控制器213中。前驅物氣體控制器213連接第一前驅物輸送系統205、第二前驅物輸送系統206和反應物輸送系統208,並將第一前驅物輸送系統205、第二前驅物輸送系統206和反應物輸送系統208與沉積腔室203隔離,以將期望的前驅物 材料輸送到沉積腔室203。前驅物控制器213可包含諸如閥門、流量計、傳感器等之裝置以控制每個前驅物的輸送速率,且可以藉由從控制單元215所接收的指令來控制(以下將於第3圖中進一步描述)。
前驅物氣體控制器213在接收到來自控制單元215的指令時可打開和關閉閥,以將一或多個第一前驅物輸送系統205、第二前驅物輸送系統206和反應物輸送系統208連接至沉積腔室203,並引導期望的前驅物材料通過歧管216進入沉積腔室203並到達噴頭217。噴頭217可以用以將所選擇的前驅物材料分散到沉積腔室203中,且可被設計成均勻地分散前驅物材料以使由不均勻分散所引起之不期望的製程條件最小化。在一實施例中,噴頭217可具有圓形設計,其具有均勻分佈在噴頭217周圍之開口,以允許將期望的前驅物材料分散到沉積腔室203中。
然而,如所屬技術領域中具有通常知識者所理解,通過如上所述之單個噴頭217或通過單個引入點而將前驅物材料引入沉積腔室203,僅為說明性範例,而並非意在限制實施例。可使用任何數量之個別且獨立的噴頭217或用於將前驅物材料引入沉積腔室203之其他開口。所有這些組合之噴頭和其他引入點完全意在包含於實施例的範圍內。
沉積腔室203可接收期望的前驅物材料並將前驅物材料暴露於第二蝕刻停止層109,且沉積腔室203可為任何期望的形狀,其可適合於分散前驅物材料並使前驅物材料與第二蝕刻停止層109接觸。在第2圖所示的實施例中,沉積腔室203 具有圓柱形側壁和底部。然而,沉積腔室203不限於圓柱形,且可使用任何其它合適的形狀,例如空心方管、八角形等。此外,沉積腔室203可被殼體219圍繞,殼體219係由對各種製程材料呈惰性的材料所製成。藉此,雖然殼體219可為能夠承受沉積製程中所涉及的化學物質和壓力之任何合適的材料,但在一實施例中,殼體219可為鋼、不銹鋼、鎳、鋁、上述之台金、上述之組合等。
在沉積腔室203內,基底101可放置在安裝平台221上,以在處理和沉積製程期間定位並控制基底101和第二蝕刻停止層109。安裝平台221可包含加熱機構,以在處理和沉積製程期間加熱基底101。此外,儘管在第2圖中顯示單個安裝平台221,但在沉積腔室203內亦可額外包含任何數量的安裝平台221。
此外,沉積腔室203和安裝平台221可為集群工具系統(cluster tool system)(未示出)的一部分。群集工具系統可與自動化處理系統結合使用,以在處理和沉積製程之前將基底101定位並放置到沉積腔室203中,在處理和沉積製程期間保持基底101,以及在處理和沉積製程之後從沉積腔室203移除基底101。
沉積腔室203亦可具有排氣出口225,用以將廢氣排出沉積腔室203。真空泵223可連接至沉積腔室203的排氣出口225,以幫助排出廢氣。在控制單元215的控制下,真空泵223亦可用於將沉積腔室203內的壓力減小並控制到期望的壓力,且亦可用於將前驅物材料從沉積腔室203中排出,以準備引入 下一個前驅物材料。
第3圖顯示可用於控制前驅物氣體控制器213和真空泵223(如第2圖所示)之控制單元215的實施例。控制單元215可為能夠在工業環境(insustry setting)中用於控制製程機器之任何形式的電腦處理器。在一實施例中,控制單元215可包括處理單元301,例如桌上型電腦,工作站,膝上型電腦或為特定應用程式所訂製的專用單元。控制單元215可配備有顯示器303及一或多個輸入/輸出組件305,例如指令輸出、感測器輸入、滑鼠、鍵盤、列印機、上述之組合等。處理單元301可包括連接到匯流排312之中央處理單元(central processing unit,CPU)306、記憶體308、大容量儲存裝置310、視訊配接器314及輸入/輸出介面(I/O interface)316。
匯流排312可為一或多個任何類型之數個匯流排架構,包括記憶體匯流排或記憶體控制器、周邊匯流排或視訊匯流排。中央處理單元(CPU)306可包括任何類型的電子數據處理器,且記憶體308可包括任何類型的系統記憶體,例如靜態隨機存取記憶體(static random access memory,SRAM)、動態隨機存取記憶體(dynamic random access memory,DRAM)或唯讀記憶體(read-only memory,ROM)。大容量儲存裝置310可包括任何類型的儲存裝置,其被配置以儲存數據、程式和其他訊息,且使數據、程式和其他訊息可經由匯流排312而存取。大容量儲存裝置310可包括,例如,一或多個硬碟驅動器,磁碟驅動器或光碟驅動器。
視訊配接器314和輸入/輸出介面316提供介面以將 外部的輸入和輸出裝置耦合至處理單元301。如第3圖所示,輸入和輸出裝置的範例包括顯示器303,其耦合至視訊配接器314及諸如滑鼠、鍵盤、列印機等輸入/輸出組件305,並耦合至輸入/輸出介面316。其他裝置可以耦合至處理單元301,且可利用額外或較少的介面卡。例如,可使用串列介面卡(未示出)來為列印機提供串列介面。處理單元301亦可包括網路介面318,其可以是連結至區域網路(local area network,LAN)或廣域網路(wide area network,WAN)320之有線鏈路(wired link)及/或無線鏈路(wireless link)。
應注意的是,控制單元215可包括其他組件。例如,控制單元215可包括電源、電纜、主機板、抽取式儲存媒介、外殼等。儘管在第3圖中未示出,但這些其他部件被認為是控制單元215的一部分。
請回頭參照第1A圖,沉積系統200可用以將第一介電層111沉積到第二蝕刻停止層109上。在一實施例中,第一介電層111的形成可以藉由將第一前驅物材料放入第一前驅物輸送系統205中而啟動。舉例而言,在期望第一電介電層111係諸如SiOC:H之材料的實施例中,第一前驅物可為具有矽主鏈的主鏈前驅物(或基質前驅物)以形成矽-氧鍵結基質。在特定實施例中,主鏈前驅物可為烷氧基矽烷、胺基矽烷或環型烷氧基矽烷系前驅物。
在一些實施例中,主鏈前驅物僅包括烷氧基矽烷。舉例而言,在這樣的實施例中,主鏈前驅物可含有包括Si(OR)4、Si(OR)3L、Si(OR1)2(OR2)2、Si(OR1)3(OR2)1、 Si(OR1)2(OR2)L、Si(OR1)(OR2)(OR3)L、Si(OR1)(OR2)(OR3)(OR4)之烷氧基矽烷,其中R、R1、R2、R3、R4可為CH3、C2H5、C3H7、C4H9等,且R1≠R2≠R3≠R3,其中L可為H。如上述,可使用之主鏈前驅物的一個範例係具有以下結構之Si(OCH3)2H2
在其他實施例中,主鏈前驅物僅包括氨基矽烷。在這樣的實施例中,主鏈前驅物可包括Si(N(CH3)2)aL4-a,Si(N(C2H5)2)bL4-b,其中1a、b4,且其中L可為H。如上述,可使用之主鏈前驅物的一個範例係具有以下結構之SiH(N(CH3)2)3
在其他實施例中,主鏈前驅物不包括僅為烷氧基或氨基,而可包括烷氧基與氨基兩者。舉例而言,在這樣的實施例中,主鏈前驅物可具有包含Si(OR)2(N(CH3)2)2、Si(OR)3(N(CH3)2)1、Si(OR)1(N(CH3)2)3、Si(OR)(OR1)(N(CH3)2)(N(C2H5)、Si(OR)a(N(CH3)2)bLc、Si(OR)a(N(C2H5)2)bLc之基質,其中R、R1可為CH3、C2H5、C3H7、C4H9,R≠R1,a、b1,L可為H。如上述,可使用之主鏈前驅 物的一個範例係具有以下結構之Si(OCH3)2(N(CH3)2)2
在又一個實施例中,主鏈前驅物包括環狀結構。舉例而言,在此實施例中,主鏈前驅物可為諸如(SiO)xH2x之環狀烷氧基矽烷,其中x大於或等於4且小於或等於8。在一實施例中,主鏈前驅物可為環狀烷氧基矽烷,例如:環四矽氧烷(cyclotetrasiloxane,Si4O4H8),其具有以下結構:
然而,用於第一前驅物材料之上述材料係意在說明而並非限制。換言之,任何合適的材料皆可用於第一前驅物材料。所有這些材料係完全意在包含於實施例的範圍內。
此外,可將第二前驅物材料放入第二前驅物輸送系統206中。在一實施例中,第二前驅物材料係成孔劑前驅物或烴系前驅物,其可為非鏈型、環型或混合型的烴。在第二前驅物材料為非鏈型烴的實施例中,第二前驅物材料可由式CH(R1)x(R2)y(R3)z表示,其中R1、R2及R3可獨立地為CH3、C2H5、 C3H7等。另外,第二前驅物材料中x、y及z的總和等於3,而第二前驅物材料中x、y及z係各自小於或等於3。在一特定實施例中,非鏈型烴可為具有以下結構的CH(CH3)(CH3)(CH3):
在第二前驅物材料為非鏈型烴的另一實施例中,第二前驅物材料可由式C(R1)w(R2)x(R3)y(R4)z表示,其中R1,R2,R3及R4係各自獨立為CH3、C2H5、C3H7等,其中w、x、y及z的總和等於4,而w、x、y、z係各自大於或等於1。在特定的實施例中,非鏈型烴可為具有以下結構的C(CH3)(CH3)(CH3)(CH3):
在這些前驅物的反應期間,矽前驅物將與氧反應以形成Si-O-Si中間體,接著與成孔劑反應以將成孔劑併入矽基前驅物中並佔據前驅物內的位置。在UV固化之後,成孔劑將燃燒掉,並留下第一介電層111。
在第二前驅物材料係環烴的實施例中,環烴可僅包含碳-碳單鍵。在特定的實施例中,環烴可為CxHy,其中x小於或等於8,且y小於或等於16。舉例而言,在這樣的實施例中, 環烴可為具有以下結構之C6H12
在第二前驅物材料係環烴的另一實施例中,環烴可包含至少一個碳-碳雙鍵。在特定的實施例中,環烴可為CxHy,其中x小於或等於8且y小於或等於14。舉例而言,在這樣的實施例中,環烴可為具有以下結構的C4H4
在第二前驅物材料包含混合烴(hybrid hydrocarbon)結構的實施例中,第二前驅物材料可僅包含碳-碳單鍵、至少一個環狀結構及至少一個支鏈碳基團。在特定的實施例中,第二前驅物材料包含CxHyRz,其中R為CH3、C2H5、C3H7等,x大於或等於3且也小於或等於8,z大於或等於1且小於或等於2x,且y及z的總和等於2x。舉例而言,在這樣的實施例中,混合烴可為具有以下結構之C3H3(CH3)3
在第二前驅物材料僅包含碳-碳單鍵、至少一個環狀結構和至少一個支鏈碳基團的另一實施例中,第二前驅物材料可CvHw(R1)x(R2)y(R3)z,,其中R1、R2及R3係各自獨立為CH3、C2H5、C3H7等。另外,v大於或等於3且小於或等於8,x、y及z的總和大於或等於2,且w、x、y及z的總和等於2v。舉例而言,在這樣的實施例中,混合烴可為具有以下結構的C3H4(CH3)(C3H7):
在另一實施例中,混合烴包含至少一個環狀結構,其包含至少一個碳-碳雙鍵和至少一個支鏈烴。在n係碳-碳雙鍵的數目之特定實施例中,第二前驅物材料可為CxHyRz,其中R係CH3、C2H5、C3H7等,x大於或等於3且小於或等於8,y大於或等於1且小於或等於2x,且y及z之總和等於2x-2n。舉例而言,在這樣的實施例中,混合烴可為具有以下結構之C3H2(CH3)2
在第二前驅物材料係具有至少一個碳-碳雙鍵的混合結構(hybrid structure)且n係碳-碳雙鍵的數目之又一實施例中,第二前驅物材料可為CvHw(R1)x(R2)y(R3)z,其中R1、R2及R3係各自獨立為CH3、C2H5或C3H7,v大於或等於3且小於或等於8,x、y及z的總和大於或等於2,且w、x、y及z的總和等於2v-2n。舉例而言,在這樣的實施例中,混合烴可為具有以下結構的C5H6(CH3)(C2H5)。
可將反應性氣體(或反應性材料)放入反應物輸送系統208中。在一實施例中,反應性氣體為氧系反應性氣體,例如:O2、CO2、N2O、N2O2,上述之組合等。然而,可利用任何合適的前驅物材料。在反應期間,雖然一些碳將被燃燒成CO或CO2,但藉由利用以下所述之參數,這些參數可被調整以使燃燒成CO及CO2的碳最小化。
一旦將第一前驅物材料、第二前驅物材料和反應物材料分別放入第一前驅物輸送系統205、第二前驅物輸送系統206和反應物輸送系統208中,則可藉由控制單元215向前驅物氣體控制器213發送指令以將第一前驅物輸送系統205、第二 前驅物輸送系統206和反應物輸送系統208連接至沉積腔室203以開啟第一介電層111的形成。一旦連接,第一前驅物輸送系統205、第二前驅物輸送系統206和反應物輸送系統208能夠通過前驅物氣體控制器213和歧管216以將第一前驅物材料、第二前驅物材料和反應物材料輸送到噴頭217。接著,噴頭217能夠將第一前驅物材料、第二前驅物材料和反應物材料分散至沉積腔室203中,其中第一前驅物材料、第二前驅物材料和反應物材料能夠被吸附並彼此反應以形成第一介電層111於第二蝕刻停止層109上。
在形成SiOC:H層的實施例中,第一前驅物材料可以約100mgm至約5000mgm的流速流入沉積腔室203中,第二前驅物材料可以約50mgm至約2000mgm(例如約100mgm)的流速流入沉積腔室203中,且反應物材料可以約10sccm至約500sccm(例如約100sccm)的流速流入沉積腔室203中。另外,沉積腔室203可保持在約5托(torr)至約12托(例如約9托)的壓力以及約100℃至約400℃(例如約200℃)的溫度。然而,如所屬技術領域中具有通常知識者所理解,這些製程條件僅意在說明,可利用任何合適的製程條件,並同時保持在實施例的範圍內。
如第1B圖所示,藉由使用如上所述之前驅物和參數形成第一介電層111,第一介電層111(具有基質材料和成孔劑材料)可形成為具有多種尺寸的孔洞。舉例而言,第一介電層111可形成為具有介觀隔離孔洞(meso-isolated pores)113(例如,直徑為約5Å至約20Å的孔洞)及微觀隔離孔洞 (micro-isolated pore)115(例如,直徑為約20Å至約200Å的孔洞)兩者。這每個孔洞除了使成孔劑材料被捕獲於各個孔洞內之外,亦可具有以烴基(例如CH3)終止的側壁。
此外,第一介電層111將額外具有形成於基質材料內的通道117。這些通道117互連各個孔(例如,將介觀隔離孔洞113與微觀隔離孔洞115或其他介觀隔離孔洞113互連)。另外,通道117將具有不是以烴基終止而是以OH基或H基終止之側壁。
藉此,由於第一介電層111具有以OH和H基終止之通道117,故通道117的側壁並非以諸如CH3的烴基終止。在通道內沒有這些烴末端基的情況下,通道的側壁將比其他可能還更接近彼此,且可以減少或消除將介觀隔離孔洞113與微觀隔離孔洞115相互連接之更小孔洞的存在。舉例而言,通道117的側壁可以約2Å至約20Å的距離分隔開來,例如約4Å。
如第1C圖所示,在剛沉積的膜與分散在基質材料內的成孔劑一起形成之後,將成孔劑從基質中移除並在第一介電層111內留下孔洞。在一實施例中,藉由進行紫外線固化製程以移除成孔劑。舉例而言,可以約10秒至約180秒(例如約90秒)的時間固化第一介電層111。然而,可利用任何合適的時間。
此外,如所屬技術領域中具有通常知識者所理解,上述UV固化製程並非可用於將成孔劑從基質材料移除的唯一方法。可選地,可利用其他合適的製程,例如利用微波來分解成孔劑的熱退火。上述以及移除全部或部分成孔劑之任何 其他合適的方法皆完全意在包括於實施例的範圍內。
此外,隨著成孔劑被移除,第一介電層111的固化也將在通道117內引起反應。特別地,OH基和H基將分解並彼此反應以形成水(H2O),其在UV固化期間也將被移除。另外,由於烴基不存在,故通道117的側壁足夠接近,使得從OH基和H基(例如,諸如Si+懸鍵之末端基)之反應所留下的末端基足夠接近以彼此反應,從而修復並關閉通道117,進而隔離介觀隔離孔洞113及微觀隔離孔洞115。藉此,第一介電層111的基質材料形成為不具有或具有減少數量的通道117,且第一介電層111之孔洞(例如,介觀隔離孔洞113及微觀隔離孔洞115)外部的基質材料可以形成為不具有末端有機基團(例如末端甲基),而孔洞仍然可包含末端有機基團。
藉由減少或關閉溝道117的存在,第一介電層111被形成為無通道多孔低介電常數(low-k)材料。此外,第一介電層111在甲苯中的擴散係數低於約10-7cm2/s,但也具有孔徑大於約11Å之介觀或微觀隔離孔洞結構。在第一介電層111由SiOC:H所形成之特定實施例中,第一介電層111形成為具有小於約8原子%的碳百分比。藉由增加孔洞的隔離,第一介電層111的孔隙率可為約10%至約35%,導致約2.4至約3.5的介電常數值(K value)。另外,藉由在孔洞的表面留下碳,孔洞表面保持疏水性且能夠更佳地抵抗濕化學蝕刻製程。
第1D圖顯示可藉由第一介電層111所獲得之孔洞尺寸分布。在此實施例中,第一介電層111含有具比例因數(scaling factor)S之孔洞尺寸分佈119,其中S被定義為在10% 峰值群體處的高邊界直徑DHB與峰值直徑Dpeak的比率。在這些實施例中,使用本文所述之製程和材料之孔洞尺寸分佈具有小於或等於約1.8的比例因數S。這比其他沉積製程要少得多,其他沉積製程可能具有諸如2.6或3.3之比例因數S。
在另一特定實施例中,第一前驅物可包含至少一個烷氧基和至少一個胺基(例如,Si(OCH3)2(N(CH3)2)2),且第二前驅物包含具有兩個雙鍵(例如C4H4)之環烴。在這樣的實施例中,Si鍵將容易破裂,且接著Si將與氧反應,從而造成成孔劑將Si-O鍵併入。在UV固化以移除成孔劑之後,所獲得之第一介電層111將具有約2.8至約3.1的介電常數值。此外,碳百分比可為約6原子%至約8原子%,並具有約18%至約30%之孔隙率。
在第一前驅物包含烷氧基矽烷或氨基矽烷(例如SiH(N(C2H5)2)3)且第二前驅物包含具有單鍵的非鏈型烴(例如CH(CH3)(CH3)(CH3))之另一特定實施例中,烷氧基矽烷或胺基矽烷將解離成Si-(N(CH3)2)2,其將容易與具有單鍵的非鏈型烴反應以形成第一介電層111。在此實施例中,第一介電層111可獲得約3.0至約3.5的介電常數值。此外,碳百分比可為約5原子%至約7原子%,並具有約10%至約20%的孔隙率。
在第一前驅物包括環型烷氧基矽烷系前驅物(例如(SiO)4H8)且第二前驅物包括含有一個碳-碳雙鍵的混合環狀結構(例如C5H6(CH3)(C2H5))之又一特定實施例中,第一介電層111可獲得約2.4至約3.0的介電常數值。另外,碳百分比可為約4原子%至約6原子%,且具有約28%至約35%的孔隙率。
第4圖顯示抗反射層401、第一硬罩幕403以及第一硬罩幕403上方的第一光阻405之放置。在一實施例中,抗反射層401可為無氮抗反射塗層且可包含聚合物樹脂、催化劑和交聯劑,將其全部放入溶劑中以分散。一旦製備了抗反射層401的材料,則可先將抗反射層401的材料施用到第一介電層111上以利用抗反射層401的材料。可將抗反射層401的材料施用到第一介電層111,使得抗反射層401的材料塗佈於第一介電層111之暴露的上表面,且可使用諸如旋轉塗佈製程、浸漬塗佈法、氣刀塗佈法、簾式塗佈法、線棒塗佈法、凹版塗佈法、層壓法、擠出塗佈法、上述之組合等以將抗反射層401的材料施用到第一介電層111。在一實施例中,可施用抗反射層401的材料,使其具有約50nm至約500nm的厚度,例如約300nm。
一旦形成抗反射層401,可在抗反射層401上方形成第一硬罩幕403。在一實施例中,第一硬罩幕403可為諸如氮化鈦(TiN)的罩幕材料,但可使用諸如氧化鈦之任何其他合適的材料。可使用諸如化學氣相沉積、物理氣相沉積、原子層沉積、上述之組合等之沉積製程以形成第一硬罩幕403,且第一硬罩幕403可形成為約50Å至約800Å的初始厚度,例如約300Å。然而,可利用任何合適的厚度。
一旦形成第一硬罩幕403,可將第一硬罩幕403圖案化以提供用於後續蝕刻製程(例如以下描述之第一蝕刻製程407)之罩幕層,進而形成一或多個溝槽開口409。在一實施例中,可藉由將第一光阻405放置在第一硬罩幕403上方,接著將第一光阻405曝光並顯影而形成圖案化光阻,以將第一硬罩幕 403圖案化。一旦將第一光阻405圖案化,則使用例如異向性蝕刻製程(諸如反應離子蝕刻製程)將第一光阻405的圖案轉移至第一硬罩幕403。然而,可利用任何合適的製程。
在一實施例中,可將第一硬罩幕403圖案化以形成用於罩幕的溝槽開口409。藉此,可將第一硬罩幕403圖案化,使得溝槽開口409具有約10nm至約300nm,例如約40nm的第一寬度W1。然而,可利用任何合適的尺寸。
一旦第一硬罩幕403被圖案化,則可使用第一蝕刻製程(在第4圖中以標記為407的波浪線表示)將第一硬罩幕403的圖案轉移至第一介電層111。在一實施例中,第一蝕刻製程407可為例如異向性蝕刻製程(諸如反應性離子蝕刻),其具有適於蝕刻第一介電層111的蝕刻劑(諸如CF4或C2F6)。然而,可利用任何合適的蝕刻方法或蝕刻方法之組合。
藉由使用第一蝕刻製程407和第一硬罩幕403,將第一硬罩幕403的圖案轉移至抗反射層401,接著轉移至第一介電層111,以形成溝槽開口409。在一實施例中,從第一硬罩幕403至第一介電層111之轉移有助於將互連件801的溝槽部分805形成到第一介電層111之中。在特定實施例中,互連件801的溝槽部分805可形成為延伸至第一電介質層111之中,且具有約200Å至約2000Å的第一深度D1,例如約800Å。然而,可利用任何合適的深度。
第5圖顯示一旦形成溝槽開口409,則移除第一光阻405。在一實施例中,使用諸如灰化的製程移除第一光阻405,藉此增加第一光阻405的溫度,直到第一光阻405歷經熱 分解,此時可容易地移除第一光阻405。然而,可使用任何其他合適的製程,例如濕蝕刻或乾蝕刻,或甚至使用與形成溝槽開口409相同的蝕刻製程以移除第一光阻405。
一旦移除第一光阻405,可沉積第二光阻501並將其圖案化以形成開口,進而幫助穿過溝槽開口409的通孔開口503之圖案化。在一實施例中,第二光阻501是三層光阻,其具有底部抗反射塗層(bottom anti-reflective coating,BARC)、中間罩幕層及頂部光阻層(於第5圖中未單獨示出)。然而,可利用任何合適類型的感光材料或材料之組合。
一旦將第二光阻501放置於第一硬罩幕403和溝槽開口409上方,則將第二光阻501圖案化。在一實施例中,可藉由使第二光阻501(例如,三層光阻中的頂部光阻層)內的感光材料暴露於通過例如網線(reticle)之圖案化的能量源(例如光),以將第二光阻501圖案化。能量的影響將導致受圖案化能量源所影響之感光材料的那些部分中的化學反應,藉此修飾光阻之曝光部分的物理性質,使得第二光阻501之曝光部分的物理性質與第二光阻501之未曝光部分的物理性質不同。接著可利用例如顯影劑(未單獨示出)對第二光阻501進行顯影,以將第二光阻501的曝光部分與第二光阻501的未曝光部分分離,且頂部光阻層的圖案可延伸穿過中間罩幕層及底部抗反射塗層。
在一實施例中,可將第二光阻501圖案化,使得第二光阻501可用以形成穿過第一介電層111之通孔開口503。藉此,第二光阻501可形成為具有約10nm至約300nm的第二寬度 W2,例如約40nm。然而,可利用任何合適的尺寸。
一旦放置第二光阻501並將其圖案化,則可使用第二蝕刻製程(在第5圖中以標記為501的波浪線表示)來形成通孔開口503,其將被填充以形成互連件801的通孔部分803。在一實施例中,第二蝕刻製程505可相似於第一蝕刻製程407。舉例而言,第二蝕刻製程505可為諸如反應性離子蝕刻製程之異向性蝕刻製程,其利用對第一介電層具有選擇性之蝕刻劑。然而,可利用任何合適的蝕刻製程或蝕刻劑。
第二蝕刻製程505將通孔開口503從溝槽開口409一路延伸穿過第一介電層111,且將暴露下層的第二蝕刻停止層109,其材料被選擇為停止或至少減緩第二蝕刻製程505並防止第二蝕刻製程505蝕刻穿過第二蝕刻停止層109。
第6圖顯示通孔開口503可延伸穿過第二蝕刻停止層109。在一實施例中,穿過第二蝕刻停止層109之通孔開口503之突破係藉由第二濕蝕刻製程來實行。在一實施例中,第二濕蝕刻製程可利用液體第二蝕刻劑,此液體第二蝕刻劑接觸第二蝕刻停止層109且一起接觸結構的其餘部分。舉例而言,可將第二蝕刻停止層109於約25℃至約80℃(例如約60℃)的溫度下浸入第二蝕刻劑的液體池中。然而,可利用使第二蝕刻劑接觸第二蝕刻停止層109之任何合適的方法。
第6圖顯示可用於形成互連件801的進一步處理。在一實施例中,在將第二蝕刻停止層109圖案化以延伸通孔開口503之後,通孔開口503可延伸穿過第一蝕刻停止層107。在一實施例中,通孔開口503穿過第一蝕刻停止層107的延伸暴露 出下層導電元件105,且可使用例如濕蝕刻或乾蝕刻製程來實行。然而,可利用任何合適的圖案化或移除製程。
另外,在此刻,或在該製程期間之任何其他合適的時刻,可移除第二光阻501。在一實施例中,使用諸如灰化的製程移除第二光阻501,藉此增加第二光阻501的溫度直到第二光阻501歷經熱分解,此刻可容易地移除第二光阻501。然而,可使用任何其他合適的製程,例如濕或乾蝕刻。
一旦暴露導電元件105,則可實行可選的清潔製程以製備通孔開口503和溝槽開口409的表面。在一實施例中,在形成第一阻障層701(在第6圖中未繪示,但以下將於第7圖中繪示並描述)之前,可利用可選的電漿灰化清潔製程,以清潔並準備溝槽開口409和通孔開口503的側壁以接收第一阻障層701。可藉由從諸如氧等的清潔前驅物產生電漿,接著在諸如氮氣、氬氣等的惰性環境中將第一介電層111暴露至電漿,以實行電漿灰化清潔製程。然而,可以替代地利用任何合適的清潔製程。
第7圖顯示在完成清潔製程之後,可沉積第一阻障層701以幫助隔離並保護隨後形成的導電材料(將於以下進一步討論)。在一實施例中,第一阻障層701可包括諸如鈦、氮化鈦、上述之組合等的阻障材料,且可使用諸如化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)等以形成第一阻障層701。第一阻障層701可形成為具有約0.1μm至約20μm的厚度,例如約0.5μm。然而,可利用任何合適的材料、製程或厚度。
第8圖顯示一旦形成第一阻障層701以順著通孔開口503和溝槽開口409排列,則使通孔開口503和溝槽開口409填充有導電材料以形成具有通孔部分803(在通孔開口503內)和溝槽部分805(在溝槽開口409內,且繪示為使用虛線與通孔部分803分開,但可能有或可能沒有實際標示為分開)之互連件801。導電材料可包括銅,但可替代地利用其他合適的材料,例如鋁、合金、摻雜多晶矽、上述之組合等。可藉由沉積種子層(未單獨示出)、將銅電鍍至晶種層上以及填充並過度填充通孔開口503和溝槽開口409以形成導電材料。一旦填充通孔開口503和溝槽開口409,可藉由諸如化學機械研磨(chemical mechanical polishing,CMP)之平坦化製程以移除第一阻擋層701、種子層、抗反射層401、第一硬罩幕403、第一光阻405以及通孔開口503和溝槽開口409外面的導電材料之多餘部分,然而可使用任何合適的移除製程。
第9圖顯示上述製程係用於單一鑲嵌製程(而非如上述關於第1-8圖之雙重鑲嵌工藝)之另一實施例。在此實施例中,利用一或多個蝕刻製程以形成延伸穿過第一介電層111、第二蝕刻停止層109和第一蝕刻停止層107之通孔。一旦形成開口,第一阻障層701和導電材料可填充此開口,接著可使用例如平坦化製程(諸如化學機械研磨製程)以移除開口外面的多餘材料。然而,可利用任何合適的製程。
根據一實施例,提供一種半導體裝置的製造方法,包括:引入第一前驅物至基底上,其中第一前驅物包括矽主鏈前驅物。引入第二前驅物至基底上,使得第一前驅物與第 二前驅物彼此反應,以形成具有成孔劑的介電層,第二前驅物包括烴。從介電層移除成孔劑以形成多孔介電層。
在前述或接下來的一或多個實施例中,其中基質前驅物包括烷氧基矽烷基團。在前述或接下來的一或多個實施例中,其中基質前驅物包括胺基矽烷基團。在前述或接下來的一或多個實施例中,其中基質前驅物包括胺基矽烷基團及烷氧基矽烷基團。在前述或接下來的一或多個實施例中,其中基質前驅物包括環狀烷氧基矽烷。在前述或接下來的一或多個實施例中,其中成孔劑前驅物包括環狀結構。在前述或接下來的一或多個實施例中,其中成孔劑前驅物包括非鏈型基團。
根據另一實施例,提供一種半導體裝置的製造方法,包括:使用化學氣相沉積製程沉積介電層至基底上,其中化學氣相沉積製程利用至少烴成孔劑前驅物及矽主鏈前驅物。固化介電層以從矽系基質內的孔洞移除烴成孔劑,其中固化亦關閉矽系基質內的通道。
在前述或接下來的一或多個實施例中,其中固化該介電層更包括:移除烴成孔劑而留下隔離孔洞,其中隔離孔洞具有疏水的表面。在前述或接下來的一或多個實施例中,其中隔離孔洞具有約5Å至約20Å的孔徑。在前述或接下來的一或多個實施例中,其中隔離孔洞具有約20Å至約200Å的孔徑。在前述或接下來的一或多個實施例中,其中隔離孔洞包括介觀隔離孔洞(meso-isolated pores)及微觀隔離孔洞(micro-isolated pores)。在前述或接下來的一或多個實施例中,其中在固化介電層之後,介電層具有小於或等於約1.8的比例因數(scaling factor)。在前述或接下來的一或多個實施例中,其中固化介電層係至少部分藉由紫外線固化製程來實行。
根據又一實施例,提供一種半導體裝置,其包括嵌入在基底內的導電結構。低介電常數介電材料位於基底上方,其中低介電常數介電材料具有在甲苯中低於10-7cm2/s的擴散係數以及大於約11Å的孔徑。
在前述或接下來的一或多個實施例中,其中低介電常數介電材料為SiOC:H。在前述或接下來的一或多個實施例中,其中SiOC:H的碳含量為小於8原子%。在前述或接下來的一或多個實施例中,其中低介電常數介電材料具有約10%至約35%的孔隙率。在前述或接下來的一或多個實施例中,其中低介電常數介電材料具有小於或等於約1.8的比例因數;其中低介電常數介電材料具有約2.4至約3.5的介電常數值。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以更佳的了解本揭露的各個方面。本技術領域中具有通常知識者應該可理解,他們可以很容易的以本揭露為基礎來設計或修飾其它製程及結構,並以此達到相同的目的及/或達到與本揭露介紹的實施例相同的優點。本技術領域中具有通常知識者也應該了解這些相等的結構並不會背離本揭露的發明精神與範圍。本揭露可以作各種改變、置換、修改而不會背離本揭露的發明精神與範圍。

Claims (20)

  1. 一種半導體裝置的製造方法,包括:引入一第一前驅物至一基底上,其中該第一前驅物為一基質前驅物;引入一第二前驅物至該基底上,使得該第一前驅物與該第二前驅物彼此反應,以形成具有一成孔劑的一介電層,該第二前驅物為一成孔劑前驅物且包括烴;及從該介電層移除該成孔劑,其中移除該成孔劑亦關閉該介電層內的一或多個通道。
  2. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該基質前驅物包括烷氧基矽烷基團。
  3. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該基質前驅物包括胺基矽烷基團。
  4. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該基質前驅物包括胺基矽烷基團及烷氧基矽烷基團。
  5. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該基質前驅物包括環狀烷氧基矽烷。
  6. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該成孔劑前驅物包括環狀結構。
  7. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該成孔劑前驅物包括非鏈型基團。
  8. 一種半導體裝置的製造方法,包括:使用一化學氣相沉積製程沉積一介電層至一基底上,其中該化學氣相沉積製程利用一烴成孔劑及一基質前驅物;及 固化該介電層以使Si-O-Si交聯,並移除該烴成孔劑以形成具有複數個隔離孔洞(isolated pores)之一無通道低介電常數膜。
  9. 如申請專利範圍第8項所述之半導體裝置的製造方法,其中固化該介電層更包括移除該烴成孔劑而留下該些隔離孔洞,其中該隔離孔洞具有疏水的表面。
  10. 如申請專利範圍第8項所述之半導體裝置的製造方法,其中該些隔離孔洞具有約5Å至約20Å的孔徑。
  11. 如申請專利範圍第8項所述之半導體裝置的製造方法,其中該些隔離孔洞具有約20Å至約200Å的孔徑。
  12. 如申請專利範圍第8項所述之半導體裝置的製造方法,其中該些隔離孔洞包括介觀隔離孔洞(meso-isolated pores)及微觀隔離孔洞(micro-isolated pores)。
  13. 如申請專利範圍第8項所述之半導體裝置的製造方法,其中在固化該介電層之後,該介電層具有小於或等於約1.8的比例因數(scaling factor)。
  14. 如申請專利範圍第8項所述之半導體裝置的製造方法,其中固化該介電層係至少部分藉由紫外線固化製程來實行。
  15. 一種半導體裝置,包括:一導電結構,嵌入在一基底之中;及一低介電常數介電材料,位於該基底上方,其中該低介電常數介電材料具有在甲苯中低於10 -7cm 2/s的擴散係數以及大於約11Å的孔徑。
  16. 如申請專利範圍第15項所述之半導體裝置,其中該低介電 常數介電材料為SiOC:H。
  17. 如申請專利範圍第16項所述之半導體裝置,其中SiOC:H的碳含量為小於8原子%。
  18. 如申請專利範圍第15項所述之半導體裝置,其中該低介電常數介電材料具有約10%至約35%的孔隙率。
  19. 如申請專利範圍第15項所述之半導體裝置,其中該低介電常數介電材料具有小於或等於約1.8的比例因數。
  20. 如申請專利範圍第15項所述之半導體裝置,其中該低介電常數介電材料具有約2.4至約3.5的介電常數值。
TW107126489A 2017-07-31 2018-07-31 半導體裝置及其製造方法 TWI695081B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762539270P 2017-07-31 2017-07-31
US62/539,270 2017-07-31
US15/871,528 2018-01-15
US15/871,528 US10361137B2 (en) 2017-07-31 2018-01-15 Semiconductor device and method

Publications (2)

Publication Number Publication Date
TW201920731A true TW201920731A (zh) 2019-06-01
TWI695081B TWI695081B (zh) 2020-06-01

Family

ID=65038819

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107126489A TWI695081B (zh) 2017-07-31 2018-07-31 半導體裝置及其製造方法

Country Status (4)

Country Link
US (3) US10361137B2 (zh)
KR (1) KR102033779B1 (zh)
CN (1) CN109326556B (zh)
TW (1) TWI695081B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10361137B2 (en) * 2017-07-31 2019-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
US11069570B2 (en) 2018-10-31 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming an interconnect structure
WO2023230406A1 (en) * 2022-05-24 2023-11-30 Lam Research Corporation Underlayer with bonded dopants for photolithography

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6342454B1 (en) * 1999-11-16 2002-01-29 International Business Machines Corporation Electronic devices with dielectric compositions and method for their manufacture
US6548892B1 (en) * 2000-08-31 2003-04-15 Agere Systems Inc. Low k dielectric insulator and method of forming semiconductor circuit structures
SG137694A1 (en) 2000-10-25 2007-12-28 Ibm Ultralow dielectric constant material as an intralevel or interlevel dieletric in a semiconductor device and electronic device containing the same
US6710450B2 (en) * 2001-02-28 2004-03-23 International Business Machines Corporation Interconnect structure with precise conductor resistance and method to form same
US20030006477A1 (en) * 2001-05-23 2003-01-09 Shipley Company, L.L.C. Porous materials
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
DE10162443A1 (de) * 2001-12-19 2003-07-03 Bayer Ag Verfahren zur Herstellung von dielektrischen Schichten unter Verwendung multifunktioneller Carbosilane
US6846515B2 (en) 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US6713873B1 (en) * 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
KR20060039628A (ko) * 2004-11-03 2006-05-09 삼성코닝 주식회사 용매확산이 억제된 저유전 다공성 박막
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US8399349B2 (en) * 2006-04-18 2013-03-19 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
US7906174B1 (en) * 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
WO2010082250A1 (ja) * 2009-01-13 2010-07-22 パナソニック株式会社 半導体装置及びその製造方法
US20110006406A1 (en) * 2009-07-08 2011-01-13 Imec Fabrication of porogen residues free and mechanically robust low-k materials
US20130175680A1 (en) 2012-01-10 2013-07-11 International Business Machines Corporation Dielectric material with high mechanical strength
WO2013168159A1 (en) * 2012-05-07 2013-11-14 Ramot At Tel-Aviv University Ltd. Composition and method for forming a dielectric layer
US8753449B2 (en) * 2012-06-25 2014-06-17 Applied Materials, Inc. Enhancement in UV curing efficiency using oxygen-doped purge for ultra low-K dielectric film
US9941157B2 (en) 2015-06-26 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Porogen bonded gap filling material in semiconductor manufacturing
US10090150B2 (en) * 2016-09-06 2018-10-02 Wisconsin Alumni Research Foundation Low dielectric constant (low-k) dielectric and method of forming the same
US10361137B2 (en) * 2017-07-31 2019-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Also Published As

Publication number Publication date
CN109326556B (zh) 2022-09-13
US10361137B2 (en) 2019-07-23
US20190035704A1 (en) 2019-01-31
KR102033779B1 (ko) 2019-10-17
CN109326556A (zh) 2019-02-12
US10991636B2 (en) 2021-04-27
KR20190013449A (ko) 2019-02-11
US20210249318A1 (en) 2021-08-12
US20190348337A1 (en) 2019-11-14
TWI695081B (zh) 2020-06-01
US11837515B2 (en) 2023-12-05

Similar Documents

Publication Publication Date Title
TWI738894B (zh) 半導體結構及其形成方法
TWI695081B (zh) 半導體裝置及其製造方法
US9418889B2 (en) Selective formation of dielectric barriers for metal interconnects in semiconductor devices
US8202803B2 (en) Method to remove capping layer of insulation dielectric in interconnect structures
US7723237B2 (en) Method for selective removal of damaged multi-stack bilayer films
US11488857B2 (en) Semiconductor device and method of manufacture using a contact etch stop layer (CESL) breakthrough process
TW201623669A (zh) 用於選擇性的超低介電常數封孔之可流動介電質
TWI810324B (zh) 半導體結構及其形成方法
TWI685044B (zh) 半導體裝置製造機台以及製造半導體裝置的方法
US20070232046A1 (en) Damascene interconnection having porous low K layer with improved mechanical properties
TWI604531B (zh) 半導體裝置及其製造方法
US20230386947A1 (en) Semiconductor device and method
US20230041753A1 (en) Semiconductor Device and Method of Manufacture
US10985028B1 (en) Semiconductor devices and methods of manufacturing
US20230253250A1 (en) Patterning with Self-Assembled Monolayer
US20230111315A1 (en) Method for fabricating semiconductor device with plug structure