TWI810324B - 半導體結構及其形成方法 - Google Patents

半導體結構及其形成方法 Download PDF

Info

Publication number
TWI810324B
TWI810324B TW108121895A TW108121895A TWI810324B TW I810324 B TWI810324 B TW I810324B TW 108121895 A TW108121895 A TW 108121895A TW 108121895 A TW108121895 A TW 108121895A TW I810324 B TWI810324 B TW I810324B
Authority
TW
Taiwan
Prior art keywords
layer
deposition
dielectric layer
conductive structures
dielectric
Prior art date
Application number
TW108121895A
Other languages
English (en)
Other versions
TW202015107A (zh
Inventor
劉中偉
沈柏志
邱意為
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202015107A publication Critical patent/TW202015107A/zh
Application granted granted Critical
Publication of TWI810324B publication Critical patent/TWI810324B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric

Abstract

本發明實施例描述一種具有介於約15原子百分比(atomic %)至約20原子百分比之間的碳濃度之矽基富碳低介電常數層間介電層的形成方法。舉例而言,此方法包含使用介電材料在基底之上沉積介電層,此介電材料具有低於3.9的介電常數以及介於約15%至約20%之間的碳原子濃度;將介電層暴露於熱製程,用以使介電材料脫氣(outgas);蝕刻介電層以形成多個開口;以及使用導電材料填充此些開口以形成多個導電結構。

Description

半導體結構及其形成方法
本揭露內容是有關於一種半導體結構及其形成方法,特別是有關於一種具有層間介電層的半導體結構及其形成方法。
積體電路(IC)的製造流程,是在基底(例如,晶圓)之上的彼此疊置於其上的多個層中連續地進行。根據設計的複雜性與應用,一個積體電路可包含大量的層(例如,幾百個)。這些層經由層間介電層(ILD)而彼此分隔開來。
本發明的一些實施例提供一種半導體結構的形成方法。此方法包含:使用一介電材料在一基底之上沉積一介電層,此介電材料具有低於3.9的介電常數以及介於約15%至約20%之間的碳原子濃度;將此介電層暴露於一熱製程,用以使此介電材料脫氣(outgas);蝕刻此介電層以形成多個開口;以及使用一導電材料填充此些開口以形成多個導電結構。
本發明的一些實施例提供一種半導體結構。半導體結構包含基底、介電層以及多個導電結構。介電層位於基底之上,且介電層具有低於3.9的介電常數以及介於約15%至約20%之間的碳原子濃度。導電結構位於介電層中。
本發明的一些實施例提供一種半導體結構的形成方法,此方法包含:藉由熱沉積(thermal deposition)方法或電子束沉積(electron beam deposition,e-beam deposition)方法將一介電材料沉積在一基底之上,其中此介電材料具有低於3.9的介電常數;形成一經處理介電材料(treated dielectric material),此經處理介電材料具有介於約15%至約20%之間的碳原子濃度以及介於約1.2克/立方公分至約1.4克/立方公分的密度;蝕刻此經處理介電材料以形成多個開口;以及使用一導電材料填充此些開口。
以下內容提供了很多不同的實施例或範例,用於實現本文提供的主題的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例來說,敘述中若提及第一部件形成於第二部件之上,可能包含形成第一和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一和第二部件之間,使得第一和第二部件可不直接接觸的實施例。
再者,一些空間上的相關用語,例如「在…下方」、「在…之下」、「下方的」、「在…上方」、「上方的」和其他類似的用語可用於本文中,以便描述如圖式所示的一個元件或部件與另一個元件或部件之間的關係。這些空間上的相關用語除了包含圖式繪示的方位外,也包含使用或操作中的裝置的不同方位。裝置可以被轉至其他方位(旋轉90度或其他方位),則在此所使用的空間相對描述可同樣依旋轉後的方位來解讀。
本文使用的用語「標稱(nominal)」是指在產品或製程的設計階段期間,對元件或製程操作,所設定的特性或參數的期望值或目標值,其伴隨著在期望值以上及/或以下的數值的範圍。數值的範圍通常是由於製造過程或公差上的輕微變異。除非另有定義,在此使用的技術和科學用語與本發明所屬技術領域具有通常知識者所通常理解的意義相同。
本文使用的用語「實質上」是指一給定數量(given quantity)的數值可以根據標的半導體裝置相關的特定技術節點(technology node)而所有變動。根據此特定技術節點,用語「實質上」可意指給定數量的數值可在目標(或預期)數值的例如±5%之間變動。
本文使用的用語「約」是指一給定數量的數值可以根據標的半導體裝置相關的特定技術節點而所有變動。根據此特定技術節點,用語「約」可意指給定數量的數值可在此數值的例如5-30%(例如,此數值的±5%、±10%、±20%、或±30%)之間變動。
積體電路(IC)中的後段製程(Back end of the line,BEOL)層是經由具有低介電常數(low-k)的層間介電層而彼此分開。舉例而言,低介電常數層間介電層(或低介電常數介電層)可具有低於3.9(例如,3.2或更低)的介電常數。後段製程需要低介電常數層間介電層,這是因為低介電常數層間介電層可以降低互連件(例如導孔或線)之間的寄生電容,且因而降低積體電路中的電阻-電容(RC)延遲(訊號延遲)。然而,當積體電路的尺寸隨著一個接著一個的技術世代(節點)而縮小,後段製程層中的導孔之間的間距(例如,導孔節距(via pitch))則隨之縮減。如此一來,形成具有垂直側壁(例如,介於約84°至約90°)的導孔變得具有挑戰,且使得緊密間隔的導孔或線之間短路的可能性提升。再者,導孔節距的縮減可加劇蝕刻負載效應(“負載效應”),例如是相對於導孔密度、導孔位置(例如,在晶粒上或晶圓上)、導孔尺寸、或上述的任意組合的蝕刻速率相依性(etch rate dependency)。
為了解決上述的限制條件,本文所述的實施例涉及一種矽基(silicon-based)低介電常數(low-k)層間介電(ILD)層,其具有位於約15%至約20%之間的範圍內的碳原子濃度(例如,富碳(carbon-rich))。在一些實施例中,富碳低介電常數層間介電層可以是孔洞(porous)材料。 由於其升高的碳含量,低介電常數層間介電層可具有較高的機械強度、降低負載效應、以及對於具有介於約20奈米至約130奈米(例如,28奈米)之間的節距的導孔提供了較佳的導孔外觀輪廓控制。根據一些實施例,可以藉由後沉積處理(post-deposition treatment)來調節富碳低介電常數層間介電層的一些特定的膜性質,例如密度、孔洞度(porosity)、附著性(adhesion)、與表面特性。在一些實施例中,後沉積處理包含紫外光處理、熱處理、電漿處理、電子束(e-beam)處理、或上述的任意組合。根據一些實施例,在本文所述的矽基富碳低介電常數層間介電層中形成的導孔的底部與側壁之間的角度可以是位於約84°至約90°之間的範圍內(例如,約86°)。再者,孤立(isolated)的導孔與密集(dense)的導孔之間的導孔高度差異(例如是由蝕刻負載效應所導致)可以是約50埃(Å)或更小。
第1圖是根據一些實施例,一種富碳低介電常數層間介電層的製造方法100的流程圖。在製造方法100的多個操作步驟之間可以進行一些其他的製造操作步驟,為了能清楚地討論而在本文中將這些其他操作步驟省略。再者,製造方法100可不限於以下所述的操作步驟,且可以進行其他的操作步驟。
在一些實施例中,製造方法100由操作步驟110開始,其中使用一些半導體製造方法形成具有一或多個接觸層位於其上的一個部分製造的晶圓。在一些實施例中,部分製造的晶圓的一或多個接觸層用來作為製造方法100的後續操作步驟的起始點。部分製造的晶圓可包含在基底之上的一或多個先前形成的層。作為範例而非限制,部分製造的晶圓可包含場效電晶體(FET)、摻雜區、記憶體陣列、電容結構、一或多個接觸層、電阻結構、及其類似物。根據一些實施例,第2圖是根據以上敘述的一個簡化的部分製造的晶圓200。更具體而言,部分製造的晶圓200可包含形成於基底205之上的層210。如前所述,可以在層210和基底205之間形成額外的組件(例如,場效電晶體、摻雜區、電容結構、電阻結構、記憶體陣列等)。為了簡化,這些額外的組件並未繪示於第2圖中,然而,這些組件涵蓋在本發明實施例的精神與範圍之內。
在一些實施例中,基底205可以是裸半導體塊狀基底(bare semiconductor bulk substrate)、絕緣體上的半導體(semiconductor on insulator,SOI)基底的頂層、或任何其他適合的基底材料。作為範例而非限制,基底205可包含矽或其他的元素半導體。元素半導體例如是(i)鍺;(ii)化合物半導體,包含矽鍺(SiGe)、碳化矽、砷化鎵(GaAs)、磷化鎵(GaP)、磷化銦(InP)、砷化銦(InAs)、及/或銻化銦(InSb);(iii)合金半導體,包含矽鍺、磷化鎵砷(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、砷化鎵銦(GaInAs)、磷化鎵銦(GaInP)、及/或砷磷化鎵銦(GaInAsP);或(iv)前述之任意組合。在一些實施例中,基底205可以是非半導體基底,例如是石英。
在一些實施例中,層210包含金屬接觸件215,金屬接觸件215中可填充鈷(Co)、鎢(W)、其他適合的導電材料、或前述的任意組合。作為範例而非限制,層210可包含例如是如第2圖所示的具有不同深度或高度的金屬接觸件215。金屬接觸件215埋設於層間介電(ILD)層220或層間介電層堆疊中。作為範例而非限制,層間介電層220可包含氧化矽(SiO2 )、碳氧化矽(SiOx C)、氮氧化矽(SiON)、氮碳氧化矽(SiOCN)、碳化矽(SiC)、氮碳化矽(SiCN)、或前述的任意組合。再者,層間介電層220的厚度可以是在約10奈米至約40奈米的範圍內。層間介電層220的厚度並非限定於前述範圍,超出前述範圍的較厚或較薄的層間介電層也是可能的。再者,層210可具有大約10奈米的蝕刻停止層225,蝕刻停止層225位於(設置於)層間介電層220上。作為範例而非限制,蝕刻停止層225可以是氮化物,例如是氮化矽(SiN)。
參照第1圖,製造方法100接著進行操作步驟120,沉積富碳低介電常數介電層在層210之上及蝕刻停止層225上。根據一些實施例,第3圖呈現沉積富碳低介電常數層300之後的部分製造的晶圓200。在一些實施例中,富碳低介電常數層300(本文中也稱做沉積的層300)是介電材料(例如,具有矽烷或矽氧烷官能基的矽基材料),其包含升高的碳含量。舉例而言,低介電常數層300的碳的濃度可以是在約15%至約20%的範圍內。納入低介電常數層300中的碳的量可以來自於用於進行沉積的前驅物。在一些實施例中,如果在沉積富碳低介電常數層300的過程中加入成孔劑前驅物(porogen precursor)或”填充物(filler)”,富碳低介電常數層300可以在後續經處理後而形成孔洞網路(network of pores)。
根據一些實施例,可以經由使用電漿促進化學氣相沉積(plasma-enhanced chemical vapor deposition ,PECVD)製程、原子層沉積(ALD)製程、電漿輔助原子層沉積(plasma assisted atomic layer deposition process ,PEALD)製程、或電子束輔助(e-beam assisted)沉積製程,來進行富碳低介電常數層300的沉積。作為範例而非限制,在電漿促進化學氣相沉積(PECVD)製程的範例中,可以將前驅物導入電漿(例如,氧氣電漿)中以沉積富碳低介電常數層300。在一些實施例中,可以用於沉積富碳低介電常數層300的前驅物包含但不限於原矽酸四乙酯(tetraethyl orthosilicate,TEOS)、甲基二乙氧基二矽烷(mDEOS)、二甲基二甲氧基矽烷(dimethyl dimethoxy silane,DMDMOS)、二甲氧基四甲基二矽氧烷(dimethoxytetramethyldisiloxane,DMTMDSO)、八甲基環四矽氧烷(octamethylcyclotetrasiloxane,OMCTS)、四甲基環四矽氧烷(tetramethylcyclotetrasiloxane,TMTCS)、二乙氧基甲基矽烷(diethoxymethylsilane,DEMS)、三甲基矽烷(3MS)、或四甲基矽烷(4MS)。作為範例而非限制,前述的前驅物可以稀釋在惰性氣體中,例如是氦氣或氬氣。
在一些實施例中,可能需要在富碳低介電常數層300中形成孔洞。為了形成孔洞,可以在沉積期間加入成孔劑前驅物。在一些實施例中,可以用於在富碳低介電常數層300中形成孔洞的成孔劑前驅物包含但不限於丙烷(C3 H8 )、苯(C6 H6 )、或α-松油烯(alpha-terpinene,ATRP(C10 H16 ))。可以經由將沉積的層300暴露在紫外光(UV)之下而完成孔洞的形成,其中層的主體中的碳氫化合物(hydrocarbons)脫氣(outgassing),而在層之中留下孔洞網路(network of pores)。
在一些實施例中,電漿輔助化學氣相沉積(PECVD)製程可以在介於約100°C至約500°C之間的溫度、以及介於約0.5托(Torr)至約20托之間(例如,約5托)的壓力下進行。射頻(radio frequency,RF)電源供應器可提供電源至氧氣電漿。提供至氧氣電漿的射頻功率可以是介於約200瓦至約600瓦之間(例如,500瓦)。在電漿輔助化學氣相沉積(PECVD)期間,前驅物(例如,原矽酸四乙酯(TEOS)、甲基二乙氧基二矽烷(mDEOS)、三甲基矽烷(3MS)、或四甲基矽烷(4MS))與氧氣之間的流速比值(flow ratio)是高的,且可以是在約25至約100的範圍內。並且,電漿輔助化學氣相沉積(PECVD)反應器內的總氣體流量可以是在約1500 sccm至約5000 sccm的範圍內。在一些實施例中,較高的流速比值(例如,約100)達到較高的碳濃度。需注意的是,以上的範圍是作為範例而非限制。舉例而言,依據前驅物,可以使用其他的製程參數範圍來沉積具有所需碳濃度的低介電常數層300。
原子層沉積(例如,熱)或電漿輔助原子層沉積(例如,電漿)製程可以使用與前述的電漿輔助化學氣相沉積(PECVD)製程相同或不同的前驅物。舉例而言,用於原子層沉積(ALD)製程的前驅物化學品可包含原矽酸四乙酯(TEOS)及/或六甲基二矽氮烷(HMDS,HN[Si(CH3 )3 ]2 )。在原子層沉積(ALD)或電漿輔助化學氣相沉積(PECVD)製程中的反應氣體可包含氮氣、氬氣、氧氣、氦氣、氫氣、任何其他適合的氣體、或上述的任意組合。在電漿輔助原子層沉積(PEALD)中,前述的氣體可以用來製造電漿,電漿可從外部的射頻電源供應器接收約20瓦至約1000瓦的電源功率。在一些實施例中,原子層沉積(ALD)或電漿輔助化學氣相沉積(PECVD)製程可以在介於約100°C至約500°C之間的沉積溫度、以及介於約10毫托(mTorr)至約10托之間的製程壓力來進行。原子層沉積(ALD)或電漿輔助化學氣相沉積(PECVD)製程可包含多於一個的多步驟週期(multi-step cycle)。作為範例而非限制,在一個範例的原子層沉積(ALD)或電漿輔助化學氣相沉積(PECVD)製程中的一個多步驟週期可包含以下的步驟:(i)前驅物流入,(ii)前驅物吹洗(purge),(iii)反應氣體流入伴隨電漿點燃(plasma ignition)或未點燃,以及(v)吹洗(purge)。上述的製程步驟及參數範圍均是範例而非限制。
在電子束輔助(e-beam assisted)或電子束誘導(e-beam induced)沉積中,可以經由在蝕刻停止層225的頂表面上的一個氣體入口將前驅物導入。電子束可以用來促進前驅物與反應氣體在基底的表面上反應。作為範例而非限制,沉積可發生在當前驅物和反應氣體分子與晶圓的表面上的電子束反應的時候。電子束誘導沉積期間的基底溫度可以低於電漿促進化學氣相沉積(PECVD)製程或原子層沉積(ALD)/電漿輔助原子層沉積(PEALD)製程的基底溫度。舉例而言,用於電子束誘導沉積的溫度可以是在約室溫(例如,24°C)至約300°C的範圍中(例如,24°C、40°C、80°C、110°C、200 °C、240°C、300°C等)。氣體入口可以例如是噴嘴(orifice),其具有的尺寸可以根據前驅物的氣體壓力而調整,使得在電子束沉積製程期間,可以將穩定的反應物流量提供至蝕刻停止層225的表面。作為範例而非限制,前驅物可包含稀釋在氦氣或氬氣中的原矽酸四乙酯(TEOS)、甲基二乙氧基二矽烷(mDEOS)、二乙氧基甲基矽烷(DEMS)、六甲基二矽氧烷(HMDSO)、三甲基矽烷(3MS)、或四甲基矽烷(4MS)。若需要的話,在沉積期間可以使用一或多個成孔劑前驅物(porogen precursor)。成孔劑前驅物可包含碳氫化合物源(hydrocarbon source),例如是丙烷、苯、或α-松油烯(ATRP)。用於產生電子束的功率可以是在約0.5千瓦至約30千瓦的範圍內(例如,0.5千瓦至10千瓦、5千瓦至15千瓦、10千瓦至30千瓦等)。可以在電子束誘導沉積製程期間使用的反應氣體包含但不限於在約0.01毫托至約30毫托的壓力下的氮氣、氬氣、氧氣、氦氣、氫氣、任何其他適合的氣體、或上述的任意組合。沉積速率取決於多種製程參數,例如是前驅物的分壓、基底溫度、電子束參數等。電子束誘導沉積的沉積速率可以是在幾個奈米/秒的等級(例如,等於或大於約10奈米/秒)。
參照第1圖及操作步驟130,將沉積的富碳低介電常數層300暴露於處理製程。在操作步驟130的處理製程之後,沉積的富碳低介電常數層300被”轉換(converted)”為如第4圖所示的經處理的富碳低介電常數層間介電層400(本文中也稱做經處理層400)。作為範例而非限制,經處理層400可具有孔洞網路(network of pores)(例如,如果在沉積期間使用成孔劑前驅物)、由X射線光電子能譜儀(X-ray photoelectron spectroscopy,XPS)測得的介於約15%至約20%之間的碳含量(例如是原子百分比)、由橢圓偏光測定法(ellipsometry)測得的介於約1.42至約1.48的折射率、由X射線反射光測定法(x-ray reflectometry)測得的介於約1.2克/立方公分(gr/cm3 )至約1.4克/立方公分的密度、以及低於約3.0(例如,2.9)的介電常數值。在一些實施例中,經處理層400比沉積的層300更緻密。並且,取決於處理方式,與沉積的層300相比,經處理層400可具有較為改善的蝕刻特性。在一些實施例中,經處理層400的碳含量與沉積的層300的碳含量相同(例如,介於約15%至約20%之間)。
根據一些實施例,不同的處理製程對於沉積的層有不同的效果。舉例而言,一些處理製程針對沉積的層的主體,而其他的處理方式針對沉積的層的暴露的表面。如果在層300的沉積期間使用成孔劑,經處理層400中會形成孔洞網路。雖然孔洞網路可以降低膜層的整體介電常數的值至低於3.9(例如,約2.5),這也會使產生的低介電常數層間介電層的機械強度降低。舉例而言,當製程或操作步驟誘發明顯的機械應力時,多孔(porous)低介電常數層間介電層可能會崩塌,這類的製程或操作步驟例如是化學平坦化、熱製程、晶圓處理等。在一些實施例中,增加多孔低介電常數層間介電層的碳濃度可以提升機械強度,而不會對於層的介電常數有不利的影響。在一些實施例中,處理製程可包含可提高(例如,放大(boost))經處理層400的碳濃度的添加劑或環境氣體(ambient gas)。在一些實施例中,一些處理方式可以經由移除水、二氧化碳、及/或未固定地鍵結(loosely bonded)的碳氫化合物(例如,-CH3 )來使得沉積的層300更緻密。
作為範例而非限制,處理製程可以在介於約24°C至約500°C之間的溫度進行。處理製程可包含熱製程、電漿製程、紫外光製程、電子束製程、任何其他適合的製程、或上述的任意組合,以達到使得經處理層400中具有預期的碳濃度、緻密度、介電常數值、折射率、及/或蝕刻特性。
在熱處理中,富碳低介電常數層300可以在可包含一或多種氣體的環境下、在介於約100°C至約500°C之間的溫度下進行退火(anneal)。舉例而言,環境可包含氦氣、氬氣、氮氣、氫氣、一氧化碳、二氧化碳、氧化亞氮(nitrous oxide)、任何適合的氣體、或上述的任意組合。熱製程的一個目的是經由移除濕氣(例如,水)、二氧化碳、一氧化碳、或未固定鍵結的碳氫化合物(例如,-CH3 ),來緻密化沉積的層300。熱製程的另一個目的是強化矽原子、碳原子、氫原子、及氧原子之間的鍵結。如前所述,可以在氣體混合物中納入添加劑,以放大沉積的層300的碳濃度。作為範例而非限制,此種添加劑可包含矽烷、三甲基矽烷(3MS)、四甲基矽烷(4MS)、甲基二乙氧基二矽烷(mDEOS)、任何其他可以導入碳的適合的添加劑、或上述的任意組合。在一些實施例中,熱處理緻密化沉積的層300的主體。
根據一些實施例,電漿處理製程可以採用與熱處理製程相同的氣體化學品來製造電漿。電漿可以與層300的暴露表面反應,以使得後續會沉積在經處理層400的暴露表面上的材料的附著性獲得提升。作為範例而非限制,電漿處理製程可以在熱處理製程之後進行。根據一些實施例,電漿處理可以在與熱處理製程相同或更低的溫度下進行。作為範例而非限制,如果熱處理是在500°C進行,電漿處理可以在500°C或低於500°C進行。作為範例而非限制,電漿處理製程中的電漿功率可以是在約100瓦至約500瓦的範圍內(例如,100瓦、200瓦、250瓦、400瓦等)。
在紫外光處理製程中,沉積的層300可以接受具有介於約150奈米至約400奈米的波長的紫外光射線。可以在介於約100°C至約500°C之間的溫度、並在包含氦氣、氬氣、氮氣、氫氣、任何其他適合的氣體、或上述的任意組合的環境下進行紫外光射線曝光。並且,紫外光處理製程期間的製程壓力可以是在約1托至約50托的範圍內。在一些實施例中,紫外光處理製程可以具有類似於熱處理製程的效果。舉例而言,紫外光處理製程可以經由移除未固定地鍵結的碳氫化合物(例如,-CH3 )以緻密化沉積的層300。如果在層300的沉積期間使用成孔劑,紫外光處理可以導致經處理層400中形成孔洞網路。換言之,如果在沉積富碳低介電常數層300的期間使用成孔劑,紫外光處理之後的經處理層400可能會變成多孔性的。
根據一些實施例,富碳低介電常數層300可以在介於室溫(例如,約24°C)至約300°C的溫度(例如,24°C、40°C、80°C、110°C、200°C、240°C、300°C等)接受電子束處理製程。電子束處理製程在可包含氮氣、氬氣、氧氣、氦氣、氫氣、一氧化碳、二氧化碳、氧化亞氮(nitrous oxide)、任何適合的氣體、或上述的任意組合的環境中進行。並且,製程期間的壓力可以是在約0.01毫托至約100毫托的範圍內。在一些實施例中,用於產生電子束的功率可以是在約100瓦至約500瓦的範圍內。在一些實施例中,電子束處理製程可以用來處理沉積的層300的暴露表面及主體兩者。
參照第1圖,製造方法100接著進行操作步驟140,其中將經處理的富碳低介電常數層400圖案化,以在其中形成多個互連開口。經處理的富碳低介電常數層400的圖案化製程會在後續描述。如第5圖所示的硬遮罩層500可以是毯覆地沉積在經處理的富碳低介電常數層400之上。在一些實施例中,硬遮罩層500可包含底部的氧化層505及頂部的抗反射塗層510之兩層堆疊。在一些實施例中,底部的氧化層505可以是氧化矽層,頂部的抗反射塗層510可以是不含氮的抗反射塗層(nitrogen-free antireflective coating,NFARC)。抗反射塗層510可以抑制在後續的光微影步驟(於後文介紹)中的紫外光或極紫外光(EUV)光線反射,並最小化非預期的駐波(standing waves)產生。駐波會增加產生的圖案化結構的邊緣粗糙度。抗反射塗層510也可以作為”填充物(filler)”來填充氧化層505的小缺陷而形成平坦表面,光阻層(未繪示於第5圖)可在光微影步驟期間形成於此平坦表面上。
在後續的光微影操作步驟中,未繪示於第5圖的光阻可以被旋轉塗佈於抗反射塗層510上,並接著被圖案化,而使得在抗反射塗層510之上的光阻中可以形成多個開口。光阻中的這些開口形成一個圖案,此圖案暴露出抗反射塗層510的頂表面的一些部分。作為範例而非限制,光阻中的這些開口可以選擇性地與層210的一些或所有的金屬接觸件215對齊。以一個蝕刻製程通過光阻中的這些開口移除暴露的抗反射塗層510與其下的氧化層505。因此,在硬遮罩層500中形成了多個開口,此些開口如今與光阻中的開口對齊。換言之,根據前述的製程,已將光阻圖案轉移至硬遮罩層500。接著可以經由濕式蝕刻製程移除光阻。第6圖呈現在如前所述的光阻的圖案轉移製程之後的圖案化硬遮罩層500。
根據如第1圖所示的操作步驟140,在後續的蝕刻與光微影操作步驟中,請參照第7圖,在經處理的富碳低介電常數層400中形成線開口700與導孔開口705(例如,互連開口)。在一些實施例中,線開口700的深度可以小於經處理的富碳低介電常數層400的厚度,導孔開口705的深度可以大於經處理的富碳低介電常數層400的厚度。如此一來,如第7圖所示,層210的各個金屬接觸件215可以暴露出來。在一些實施例中,蝕刻製程可以是終點指向(end-pointed)以及定時蝕刻(time etch)的組合。舉例而言,蝕刻製程可以自動地終點指向在蝕刻停止層225上(例如,自動地停止),並且在後續的定時蝕刻操作步驟中”穿破(break-through)”蝕刻停止層225。
作為範例而非限制,操作步驟140包含使用感應耦合電漿(inductively coupled plasma,ICP)的低壓(例如,介於約10毫托至約60毫托)反應離子蝕刻(reactive ion etching,RIE)(RIE-ICP)製程。作為範例而非限制,感應耦合電漿之反應離子蝕刻(RIE-ICP)製程可以使用稀釋於一或多種非有機氣體(non-organic gas)中的有機氟化學品(organofluorine chemistry),舉例而言,非有機氣體是氮氣、氬氣、氫氣、及其類似物。有機氟化學品可包含多種氣體,例如是四氟甲烷(CF4 )、六氟-2-丁炔(C4 F6 )、八氟環丁烷(C4 F8 )、或其他適合的氣體。有機氟氣體的流速可以是在約10sccm至約 300sccm的範圍內,而非有機氣體的流速可以是在約100sccm至約800sccm的範圍內。
根據一些實施例,在感應耦合電漿之反應離子蝕刻(RIE-ICP)製程中,電漿是從有機氟化學品與一或多種非有機氣體的氣體混合物中所形成。同時對電漿施加一個高頻率(例如,介於約30MHz至約60MHz之間)及高功率(例如,介於約100瓦至約1000瓦之間)的訊號以及一個低頻率(例如,介於約10MHz至約30MHz之間)及低功率(例如,介於約50瓦至約500瓦之間)的訊號。高頻與低頻率訊號的功率比例可以是介於約2:1至10:1之間。在一些實施例中,高頻率/高功率訊號製造出負責化學性蝕刻的自由基,低頻率/低功率訊號製造出負責經由離子轟擊而物理性蝕刻的離子。
在一些實施例中,感應耦合電漿之反應離子蝕刻(RIE-ICP)製程在經處理的富碳低介電常數層400中製造出實質上垂直的導孔外觀輪廓(via profile),導孔的底部與側壁之間的角度θ是在介於約84°至約90°之間的範圍內,如第7圖所示。在一些實施例中,側壁角度θ的範圍(例如,介於約84°至約90°之間)是歸因於經處理的富碳低介電常數層400的性質,例如其碳含量與密度,這些性質是造成層的蝕刻特性的原因。根據一些實施例,當導孔節距縮減至低於約25奈米,具有實質上垂直外觀輪廓的導孔可以防止相鄰導孔之間發生電性短路。並且,由於蝕刻負載效應,孤立的導孔(例如,具有大於約84奈米的導孔節距P)以及密集的導孔(例如,具有小於約25奈米的導孔節距P)之間的導孔高度H的差異可以小於約50埃(Å)。這表示,依據孤立和密集的導孔之間的節距差異,孤立和密集的導孔可具有約50埃或更小的高度差異。作為範例而非限制,導孔節距P的範圍可以跨過基底205而介於約20奈米至約130奈米之間。在一些實施例中,這些結果是歸因於經處理的富碳低介電常數層400的升高的碳含量(例如,介於約15至約20原子百分比(atomic %))。
參照第1圖,製造方法100接著進行操作步驟150,其中以金屬填充經處理的富碳低介電常數層400的互連開口(例如,導孔開口705和線開口700),以形成互連層。在一些實施例中,在金屬沉積之前,將阻障層順應地沉積在線開口700、導孔開口705、和硬遮罩層500的暴露表面上。在一些實施例中,阻障層是用來防止金屬填充物的原子擴散穿過經處理的富碳低介電常數層400而到下方的層。作為範例而非限制,阻障層可以是經由物理氣相沉積(PVC)所沉積的單一個層或多個層的堆疊。舉例而言,阻障層可以是單一個鈷層或底氮化鉭(TaN)層與頂鉭(Ta)層的層堆疊(例如,TaN/Ta堆疊)。在一些實施例中,可以經由物理氣相沉積將金屬填充物的晶種層沉積在阻障層上。或者,可以不需要晶種層。晶種層可以作為金屬填充物的成核層,而隨後可以將金屬填充物電鍍在晶種層上。作為範例而非限制,晶種層可包含銅或其他可以電鍍在銅或銅合金上的低阻值金屬。如前所述,可以電鍍金屬填充物以填充經處理的富碳低介電常數層400的互連開口。
一旦填充互連開口(例如,導孔開口705和線開口700)之後,可藉由化學機械研磨(CMP)製程研磨金屬填充物直到硬遮罩層500被移除,且金屬填充物的頂表面與周圍的經處理的富碳低介電常數層400的頂表面實質上共平面。第8圖呈現在前述的化學機械研磨(CMP)製程之後,在經處理的富碳低介電常數層400中形成的具有以金屬填充的導孔805與線810(例如,互連件)的互連層800。各個導孔805與線810包含阻障層815與金屬填充物820。在一些實施例中,可以在金屬填充物820及經處理的富碳低介電常數層400的研磨表面之上沉積蝕刻停止層825。並且,可以經由重複第1圖的製造方法100的操作步驟120至150,在互連層800之上形成額外的互連層。在一些實施例中,(形成在互連層800之上的)互連層包含與經處理的富碳低介電常數層具有相同特性的層間介電層。
本文所述的實施例涉及一種矽基富碳低介電常數層間介電層,具有介於約15原子百分比至約20原子百分比之間的碳濃度、介於約1.42至約1.48之間的折射率、介於約1.2克/立方公分(gr/cm3 )至約1.4克/立方公分之間的密度、及低於約3.0(例如,2.9)的介電常數。低介電常數層可以用來作為一或多個互連層中(例如,在後段製程(BEOL)中)的層間介電層。根據一些實施例,可以經由將沉積的層暴露在後沉積處理製程,以調節富碳低介電常數層間介電層的性質(例如,密度、孔洞度、附著性、與表面特性)。後沉積處理製程可包含紫外光製程、熱製程、電漿製程、電子束製程、或上述的任意組合。可以經由在低氧環境(例如,前驅物與氧氣的流速比值是介於約25至約100之間)中,以電漿促進化學氣相沉積(PECVD)、原子層沉積(ALD)、電漿輔助原子層沉積(PEALD)、或電子束沉積方法來沉積富碳低介電常數層間介電層。由於其碳含量,低介電常數層間介電層可以降低在後續的蝕刻製程中的負載效應,且為具有介於約20奈米至約130奈米(例如,28奈米)的節距的導孔提供較佳的導孔外觀輪廓。在一些實施例中,形成在富碳低介電常數層間介電層中的孤立的導孔(例如,具有大於約84奈米的節距P)和密集的導孔(例如,具有小於約25奈米的節距P)的導孔高度差異可以是50埃或更小。並且,本文所述的形成在富碳低介電常數層間介電層中的導孔的底部與側壁之間的角度可以是在約84°至約90°(例如,約86°)的範圍內。
在一些實施例中,一種方法包含使用介電材料在基底之上沉積介電層,此介電材料具有低於3.9的介電常數以及介於約15%至約20%之間的碳原子濃度;將介電層暴露於熱製程,用以使此介電材料脫氣(outgas);蝕刻介電層以形成多個開口;以及使用導電材料填充此些開口以形成多個導電結構。
在一些實施例中,此方法更包含將介電層暴露於一電漿,電漿具有介於約100瓦至約500瓦之間的電漿功率,且電漿包含氦氣、氬氣、氮氣、氫氣、一氧化碳、二氧化碳、氧化亞氮、或上述的組合。
在一些實施例中,沉積介電層包含藉由電漿輔助化學氣相沉積(plasma-assisted chemical vapor deposition,PECVD)製程,並在介於約100°C至約500°C之間的沉積溫度、介於約0.5托(Torr)至約20托之間的沉積壓力、及介於約200瓦至約600瓦之間的射頻(radio frequency,RF)電漿功率,來沉積此介電層。
在一些實施例中,沉積介電層包含藉由電漿輔助原子層沉積(plasma-assisted atomic layer deposition,PEALD)製程,並在介於約100°C至約500°C之間的沉積溫度、介於約10毫托(mTorr)至約10托之間的沉積壓力、及介於約20瓦至約1000瓦之間的射頻電漿功率,來沉積此介電層。
在一些實施例中,熱處理包含熱退火(thermal anneal)製程,在介於約100°C至約500°C之間的溫度、及在包含氦氣、氬氣、氮氣、氫氣、一氧化碳、二氧化碳、氧化亞氮、矽烷、三甲基矽烷(tri-methylsilane,3MS)、四甲基矽烷(tetra-methylsilane,4MS)、甲基二乙氧基矽烷(methyl-diethoxy-silane,mDEOS)、或上述的組合的環境(ambient)中進行。
在一些實施例中,沉積介電層包含使用一前驅物沉積此介電層,此前驅物包含原矽酸四乙酯(tetraethyl orthosilicate,TEOS)、甲基二乙氧基二矽烷(mDEOS)、二甲基二甲氧基矽烷(dimethyl dimethoxy silane,DMDMOS)、二甲氧基四甲基二矽氧烷(dimethoxytetramethyldisiloxane,DMTMDSO)、六甲基二矽氧烷(hexamethyldisiloxane,HMDSO)、八甲基環四矽氧烷(octamethylcyclotetrasiloxane,OMCTS)、四甲基環四矽氧烷(tetramethylcyclotetrasiloxane,TMTCS)、二乙氧基甲基矽烷(diethoxymethylsilane,DEMS)、六甲基二矽氮烷(hexamethyldisilazane,HMDS)、三甲基矽烷(3MS)、四甲基矽烷(4MS)、或上述的組合。
在一些實施例中,前驅物與氧氣之間的流速比值(flow ratio)為介於約25至約100之間。
在一些實施例中,沉積介電層包含使用一前驅物沉積此介電層,此前驅物包含丙烷(C3 H8 )、苯(C6 H6 )、α-松油烯(alpha-terpinene,ATRP(C10 H16 ))、或上述的組合。
在一些實施例中,將介電層暴露於熱製程包含從此介電層移除此介電層的水、二氧化碳、碳氫化合物(hydrocarbons)、或上述的組合。
在一些實施例中,一種結構包含基底;及介電層,位於基底之上,此介電層具有低於3.9的介電常數以及介於約15%至約20%之間的碳原子濃度。此結構更包含多個導電結構,位於介電層中。
在一些實施例中,此半導體結構更包含另一介電層以及位於此另一介電層中的多個導電結構,此另一介電層位於基底與介電層之間,位於介電層中的一或多個導電結構接觸此另一介電層中的對應的此些導電結構。
在一些實施例中,介電層具有介於約1.2克/立方公分(gr/cm3 )至約1.4克/立方公分的密度以及介於約1.42至約1.48的折射率。
在一些實施例中,介電層包含一孔洞網路(network of pores)。
在一些實施例中,導電結構包含多個側壁,側壁的角度介於約84°至約90°之間。
在一些實施例中,一種方法包含藉由熱沉積(thermal deposition)方法或電子束(e-beam)沉積方法將介電材料沉積在基底之上,其中此介電材料具有低於3.9的介電常數。此方法更包含形成經處理介電材料(treated dielectric material),此經處理介電材料具有介於約15%至約20%之間的碳原子濃度以及介於約1.2克/立方公分至約1.4克/立方公分的密度;蝕刻此經處理介電材料以形成多個開口;以及使用導電材料填充此些開口。
在一些實施例中,熱沉積方法包含原子層沉積製程,其具有:介於約100°C至約500°C的沉積溫度;多個沉積反應氣體,包含氮氣、氬氣、氧氣、氦氣、氫氣、或上述的組合;以及介於約10毫托至約10托之間的沉積壓力。
在一些實施例中,電子束沉積方法包含:介於約24°C至約300°C的沉積溫度;多個沉積反應氣體,包含氮氣、氬氣、氧氣、氦氣、氫氣、或上述的組合;介於約0.01毫托至約30毫托之間的沉積壓力;以及介於約0.5千瓦(kW)至約30千瓦之間的電子束功率。
在一些實施例中,形成經處理介電材料包含藉由熱製程、電漿製程、紫外光製程、電子束製程或上述的組合,處理此介電材料。
在一些實施例中,經處理介電材料具有介於約1.42至約1.48的折射率。
在一些實施例中,經處理介電材料包含多個孔洞。
以上概述數個實施例之部件特徵,以便在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明實施例的精神與範圍,且他們能在不違背本發明實施例之精神和範圍下,做各式各樣的改變、取代和替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
100:製造方法 110、120、130、140、150:操作步驟 200:晶圓 205:基底 210、300、400:層 215:金屬接觸件 220:層間介電層 225、825:蝕刻停止層 500:硬遮罩層 505:氧化層 510:抗反射塗層 700:線開口 705:導孔開口 800:互連層 805:導孔 810:線 815:阻障層 820:金屬填充物 H:高度 P:節距 θ:角度
藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多特徵部件(feature)並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。 第1圖是根據一些實施例,一種富碳低介電常數層間介電層的製造方法的流程圖。 第2圖是根據一些實施例的一個部分製造(partially fabricated)的晶圓的剖面示意圖。 第3圖是根據一些實施例的一個部分製造的晶圓的剖面示意圖,此晶圓具有沉積的富碳低介電常數介電層。 第4圖是根據一些實施例的一個部分製造的晶圓的剖面示意圖,此晶圓具有經處理的富碳低介電常數介電層。 第5~7圖是根據一些實施例,在富碳低介電常數層間介電層中,形成互連開口的多個製程步驟中,在部分製造的晶圓上的富碳低介電常數層間介電層的剖面示意圖。 第8圖是根據一些實施例,在部分製造的晶圓之上的富碳低介電常數層間介電層中的互連層的剖面示意圖。
100:製造方法
110、120、130、140、150:操作步驟

Claims (13)

  1. 一種半導體結構的形成方法,包括:使用一介電材料及一電子束輔助沉積方法在一基底之上沉積一介電層,該介電材料具有低於3.9的介電常數以及介於約15%至約20%之間的碳原子濃度;將該介電層暴露於一熱製程,用以移除該介電材料中的水及二氧化碳;在相同或低於該熱製程的溫度下將該介電層暴露於一電漿;蝕刻該介電層以形成多個開口;以及使用一導電材料填充該些開口以形成多個第一導電結構與多個第二導電結構,其中該些第一導電結構之間具有一第一節距,且該些第二導電結構之間具有一第二節距,其中該第二節距大於該第一節距,且該些第二導電結構與該些第一導電結構的高度差異小於約50埃(Å)。
  2. 如申請專利範圍第1項所述之半導體結構的形成方法,其中該電漿具有介於約100瓦至約500瓦之間的電漿功率,且該電漿包括氦氣、氬氣、氮氣、氫氣、一氧化碳、二氧化碳、氧化亞氮、或上述的組合。
  3. 如申請專利範圍第1或2項所述之半導體結構的形成方法,其中沉積該介電層包括藉由一電漿輔助化學氣相沉積(plasma-assisted chemical vapor deposition,PECVD)製程,並在介於約100℃至約500℃之間的沉積溫度、介於約0.5托(Torr)至約20托之間的沉積壓力、及介於約200瓦至約600瓦之間的射頻(radio frequency,RF)電漿功率,來沉積該介電層。
  4. 如申請專利範圍第1或2項所述之半導體結構的形成方法,其中沉積該介電層包括藉由一電漿輔助原子層沉積(plasma-assisted atomic layer deposition,PEALD)製程,並在介於約100℃至約500℃之間的沉積溫度、介於約10毫托(mTorr)至約10托之間的沉積壓力、及介於約20瓦至約1000瓦之間的射頻電漿功率,來沉積該介電層。
  5. 如申請專利範圍第1或2項所述之半導體結構的形成方法,其中該熱處理包括一熱退火(thermal anneal)製程,在介於約100℃至約500℃之間的溫度、及在包括氦氣、氬氣、氮氣、氫氣、一氧化碳、二氧化碳、氧化亞氮、矽烷、三甲基矽烷(tri-methylsilane,3MS)、四甲基矽烷(tetra-methylsilane,4MS)、甲基二乙氧基矽烷(methyl-diethoxy-silane,mDEOS)、或上述的組合的環境(ambient)中進行。
  6. 一種半導體結構,包括:一基底;一介電層,包括一孔洞網路,位於該基底之上,該介電層具有低於3.9的介電常數、介於約15%至約20%之間的碳原子濃度以及介於約1.2克/立方公分(gr/cm3)至約1.4克/立方公分的密度;多個第一導電結構,位於該介電層中,其中該些第一導電結構之間具有一第一節距;以及多個第二導電結構,位於該介電層中,其中該些第二導電結構之間具有一第二節距,其中該第二節距大於該第一節距,且該些第二導電結構與該些第一導電結構的高度差異小於約50埃(Å)。
  7. 如申請專利範圍第6項所述之半導體結構,更包括:另一介電層,位於該基底與該介電層之間;以及位於該另一介電層中的多個導電結構,其中位於該介電層中的一或多個該些第一導電結構接觸該另一介電層中的對應的該些導電結構。
  8. 如申請專利範圍第6或7項所述之半導體結構,其中該介電層具有介於約1.42至約1.48的折射率。
  9. 如申請專利範圍第6或7項所述之半導體結構,其中該些第一導電結構包括多個側壁,該些側壁的角度介於約84°至約90°之間。
  10. 一種半導體結構的形成方法,包括:藉由一熱沉積(thermal deposition)方法或一電子束沉積(electron beam deposition,e-beam deposition)方法將一介電材料沉積在一基底之上,其中該介電材料具有低於3.9的介電常數;處理該介電材料使該介電材料具有介於約15%至約20%之間的碳原子濃度以及介於約1.2克/立方公分至約1.4克/立方公分的密度,其中處理該介電材料的步驟包括:退火該介電材料以密化(densify)該介電材料;以及在退火之後,在相同或低於退火的溫度下將該介電材料暴露於一電漿;蝕刻該經處理介電材料以形成多個開口;以及使用一導電材料填充該些開口以形成多個第一導電結構與多個第二導電結構,其中該些第一導電結構之間具有一第一節距,且該些第二導電結構之間具有一第二節距,其中該第二節距大於該第一節距,且該些第二導電結構與該些第一導電結構的高度差異小於約50埃(Å)。
  11. 如申請專利範圍第10項所述之半導體結構的形成方法,其中該熱沉積方法包括一原子層沉積製程,具有:介於約100℃至約500℃的沉積溫度;多個沉積反應氣體,包括氮氣、氬氣、氧氣、氦氣、氫氣、或上述的組合;以及介於約10毫托至約10托之間的沉積壓力。
  12. 如申請專利範圍第10項所述之半導體結構的形成方法,其中該電子束沉積方法包括:介於約24℃至約300℃的沉積溫度;多個沉積反應氣體,包括氮氣、氬氣、氧氣、氦氣、氫氣、或上述的組合; 介於約0.01毫托至約30毫托之間的沉積壓力;以及介於約0.5千瓦(kW)至約30千瓦之間的電子束功率。
  13. 如申請專利範圍第10至12之任一項所述之半導體結構的形成方法,其中形成該經處理介電材料包括藉由一熱製程、一電漿製程、一紫外光製程、一電子束製程或上述的組合,處理該介電材料。
TW108121895A 2018-06-27 2019-06-24 半導體結構及其形成方法 TWI810324B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862690726P 2018-06-27 2018-06-27
US62/690,726 2018-06-27
US16/138,106 US11749563B2 (en) 2018-06-27 2018-09-21 Interlayer dielectric layer
US16/138,106 2018-09-21

Publications (2)

Publication Number Publication Date
TW202015107A TW202015107A (zh) 2020-04-16
TWI810324B true TWI810324B (zh) 2023-08-01

Family

ID=68886260

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108121895A TWI810324B (zh) 2018-06-27 2019-06-24 半導體結構及其形成方法

Country Status (5)

Country Link
US (2) US11749563B2 (zh)
KR (2) KR20200001545A (zh)
CN (1) CN110648961B (zh)
DE (1) DE102019116924A1 (zh)
TW (1) TWI810324B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11101175B2 (en) * 2018-11-21 2021-08-24 International Business Machines Corporation Tall trenches for via chamferless and self forming barrier
US11164776B2 (en) * 2019-09-30 2021-11-02 International Business Machines Corporation Metallic interconnect structure
US11545431B2 (en) * 2020-11-16 2023-01-03 Nanya Technology Corporation Semiconductor device with carbon hard mask and method for fabricating the same
US11848198B2 (en) * 2021-08-30 2023-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor device having low-k carbon-containing dielectric layer
CN115775768B (zh) * 2023-02-13 2023-07-04 长鑫存储技术有限公司 半导体结构及其制作方法
CN116497340B (zh) * 2023-06-21 2023-09-12 上海陛通半导体能源科技股份有限公司 一种形成低温碳氧化硅薄膜的方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020142579A1 (en) * 2001-01-17 2002-10-03 Vincent Jean Louise Organosilicon precursors for interlayer dielectric films with low dielectric constants
US20070020952A1 (en) * 2005-07-19 2007-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Repairing method for low-k dielectric materials
CN1957108A (zh) * 2004-03-31 2007-05-02 应用材料公司 低k纳米多孔膜的多阶段固化方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4509451A (en) 1983-03-29 1985-04-09 Colromm, Inc. Electron beam induced chemical vapor deposition
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
JP2845176B2 (ja) * 1995-08-10 1999-01-13 日本電気株式会社 半導体装置
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6204201B1 (en) * 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
KR100390831B1 (ko) 2000-12-18 2003-07-10 주식회사 하이닉스반도체 플라즈마 원자층 증착법에 의한 탄탈륨옥사이드 유전막형성 방법
SG98468A1 (en) 2001-01-17 2003-09-19 Air Prod & Chem Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6486059B2 (en) * 2001-04-19 2002-11-26 Silicon Intergrated Systems Corp. Dual damascene process using an oxide liner for a dielectric barrier layer
US20080268177A1 (en) 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US6962869B1 (en) * 2002-10-15 2005-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. SiOCH low k surface protection layer formation by CxHy gas plasma treatment
US6914014B2 (en) * 2003-01-13 2005-07-05 Applied Materials, Inc. Method for curing low dielectric constant film using direct current bias
US7288292B2 (en) 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
US7193325B2 (en) * 2004-04-30 2007-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. Reliability improvement of SiOC etch with trimethylsilane gas passivation in Cu damascene interconnects
US7491658B2 (en) * 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7482265B2 (en) 2006-01-10 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. UV curing of low-k porous dielectrics
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
KR20100074631A (ko) 2008-12-24 2010-07-02 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성 방법
US7855142B2 (en) 2009-01-09 2010-12-21 Samsung Electronics Co., Ltd. Methods of forming dual-damascene metal interconnect structures using multi-layer hard masks
US8405192B2 (en) * 2010-09-29 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Low dielectric constant material
US10164096B2 (en) 2015-08-21 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10269558B2 (en) * 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN107978622B (zh) 2017-11-22 2020-08-11 上海天马有机发光显示技术有限公司 一种阵列基板、显示面板和显示装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020142579A1 (en) * 2001-01-17 2002-10-03 Vincent Jean Louise Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
CN1957108A (zh) * 2004-03-31 2007-05-02 应用材料公司 低k纳米多孔膜的多阶段固化方法
US20070020952A1 (en) * 2005-07-19 2007-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Repairing method for low-k dielectric materials

Also Published As

Publication number Publication date
CN110648961A (zh) 2020-01-03
KR20200001545A (ko) 2020-01-06
US20230369106A1 (en) 2023-11-16
TW202015107A (zh) 2020-04-16
US20200006126A1 (en) 2020-01-02
DE102019116924A1 (de) 2020-01-02
KR20220019252A (ko) 2022-02-16
US11749563B2 (en) 2023-09-05
CN110648961B (zh) 2023-05-23

Similar Documents

Publication Publication Date Title
TWI810324B (zh) 半導體結構及其形成方法
US6537733B2 (en) Method of depositing low dielectric constant silicon carbide layers
US7851384B2 (en) Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
US8202803B2 (en) Method to remove capping layer of insulation dielectric in interconnect structures
KR100878170B1 (ko) 실리콘카바이드층의 이중 주파수 플라즈마 화학기상증착
US8247332B2 (en) Hardmask materials
US8178443B2 (en) Hardmask materials
US7510982B1 (en) Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7960826B2 (en) Dielectric layer structure
US7723237B2 (en) Method for selective removal of damaged multi-stack bilayer films
JP5006428B2 (ja) 窒素含有前駆物質を用いる誘電体バリアの堆積
US6777171B2 (en) Fluorine-containing layers for damascene structures
US9059259B2 (en) Hard mask for back-end-of-line (BEOL) interconnect structure
US6518646B1 (en) Semiconductor device with variable composition low-k inter-layer dielectric and method of making
CN110890321A (zh) 半导体结构的制作方法
US20080188074A1 (en) Peeling-free porous capping material
TW201920731A (zh) 半導體裝置及其製造方法
US8003549B1 (en) Methods of forming moisture barrier for low K film integration with anti-reflective layers
KR20240046474A (ko) 층간 유전체층
KR101106425B1 (ko) 질소-비함유 유전성 반사방지 코팅부 및 하드마스크
US20060166491A1 (en) Dual damascene interconnection having low k layer and cap layer formed in a common PECVD process
JP6109368B2 (ja) 半導体装置の製造方法および半導体装置
CN116190209B (zh) 低介电常数介质层及金属互连结构的制作方法
TW201814869A (zh) 內連線結構的製造方法
Zhou et al. Improvement of Rough Interface Between Barrier/Seed Layer and Porous Ultralow k Film for 28nm Technological Node and Beyond