TWI685044B - 半導體裝置製造機台以及製造半導體裝置的方法 - Google Patents

半導體裝置製造機台以及製造半導體裝置的方法 Download PDF

Info

Publication number
TWI685044B
TWI685044B TW106135469A TW106135469A TWI685044B TW I685044 B TWI685044 B TW I685044B TW 106135469 A TW106135469 A TW 106135469A TW 106135469 A TW106135469 A TW 106135469A TW I685044 B TWI685044 B TW I685044B
Authority
TW
Taiwan
Prior art keywords
wet etching
station
semiconductor device
plasma processing
plasma
Prior art date
Application number
TW106135469A
Other languages
English (en)
Other versions
TW201903932A (zh
Inventor
李孟憲
呂新賢
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201903932A publication Critical patent/TW201903932A/zh
Application granted granted Critical
Publication of TWI685044B publication Critical patent/TWI685044B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一種製造半導體裝置的方法,其包括一清洗製程。清洗製程使用具有濕式清洗區以及電漿清洗區之半導體製造機台。半導體裝置被放入濕式清洗區中之濕式清洗腔中,濕式清洗製程係於濕式清洗腔中進行。完成後,在不暴露於大氣的情況下,將半導體裝置自濕式清洗區移出並將其放入電漿清洗區之電漿清洗腔中。接著進行電漿清洗。

Description

半導體裝置製造機台以及製造半導體裝置的方法
本發明實施例係關於一種製造機台,且特別有關於一種半導體裝置清洗機台。
半導體裝置被使用於各種電子產品中,例如個人電腦、手機、數位相機以及其他電子設備。半導體裝置的製造通常是藉由在半導體基板上沉積絕緣層或介電層材料、導電層材料以及半導體層材料,接著使用微影製程圖案化所形成的各種材料層,藉以在此半導體基板之上形成電路零件及組件。
經由持續降低最小特徵尺寸,半導體工業持續提高各種電子元件(例如:電晶體、二極體、電阻器、電容器等)之積體密度(integration density),而使得更多的元件可被整合至一給定的面積中。然而,隨著最小特徵尺寸的減小,在所使用的任一製程中出現了其他應被解決之問題。
本發明實施例包括一種半導體裝置製造機台。半導體裝置製造機台包括第一濕式蝕刻清洗站、第一電漿處理站、傳送站。傳送站運作上位於第一濕式蝕刻清洗站以及第一電漿處理站之間。半導體裝置製造機台亦包括外殼(exterior housing)。外殼包圍第一濕式蝕刻清洗站、第一電漿處理站以及傳送站之整體。
本發明實施例亦包括一種半導體裝置製造機台。半導體裝置製造機台包括濕式蝕刻區。濕式蝕刻區包括數個濕式蝕刻腔。半導體裝置製造機台亦包括第一傳送站。第一傳送站位於配合此些蝕刻腔體之至少一者運作的位置。半導體裝置製造機台亦包括電漿處理區。電漿處理區包括數個電漿處理腔,且此些電漿處理腔之至少一者係位於配合第一傳送站運作的位置。濕式蝕刻區、第一傳送站以及電漿處理區係為一單一機器的一部分。
本發明實施例亦包括一種製造半導體裝置的方法。製造半導體裝置的方法包括形成開口穿過介電層以露出半導體晶圓之導電元件、將半導體晶圓放入第一製程機台中、於第一製程機台中濕式清洗半導體晶圓、於第一製程機台中電漿清洗半導體晶圓。製造半導體裝置的方法亦包括在電漿清洗半導體晶圓之後自第一製程機台取出半導體晶圓。
101‧‧‧基板
103‧‧‧金屬化層
105‧‧‧導電元件
107‧‧‧第一蝕刻停止層
109‧‧‧第二蝕刻停止層
110‧‧‧第三蝕刻停止層
111‧‧‧第一介電層
113‧‧‧抗反射層
115‧‧‧第一硬罩幕
118‧‧‧第一光阻
119‧‧‧導孔開口
121‧‧‧第一蝕刻製程
125‧‧‧第二光阻
127‧‧‧第二蝕刻製程
129‧‧‧溝槽開口
131‧‧‧殘留物
200‧‧‧製程機器
201‧‧‧電漿處理系統
202‧‧‧濕式蝕刻區
203‧‧‧處理氣體輸送系統
204‧‧‧電漿處理區
205‧‧‧歧管
206‧‧‧傳送站
207‧‧‧載送氣體提供器
208‧‧‧濕式蝕刻站
210‧‧‧進料槽
211‧‧‧處理氣體提供器
212‧‧‧第一輸入端
213‧‧‧處理氣體控制器
214‧‧‧第二輸入端
215‧‧‧腔室殼體
216‧‧‧第三輸入端
217‧‧‧流量計
218‧‧‧第一輸出端
220‧‧‧第一電極
221‧‧‧上電極
222‧‧‧第一射頻產生器
223‧‧‧第二射頻產生器
224‧‧‧可旋轉吸座
225‧‧‧真空泵浦
226‧‧‧分配臂
227‧‧‧控制器
228‧‧‧噴嘴
229‧‧‧噴頭
232‧‧‧軌道
245‧‧‧固持平台
250‧‧‧濕式清洗製程
252‧‧‧第一管線
254‧‧‧電漿處理腔
256‧‧‧第二閥
258‧‧‧第一閥
260‧‧‧第二管線
262‧‧‧第一泵浦
264‧‧‧第一加熱器
266‧‧‧第一過濾器
270‧‧‧電漿處理製程
272‧‧‧處理加熱器
281‧‧‧外殼
301‧‧‧第一阻障層
303‧‧‧內連線之溝槽部分
305‧‧‧內連線
307‧‧‧內連線導孔部分
D1‧‧‧深度
W1、W2‧‧‧寬度
T1‧‧‧厚度
以下將配合所附圖式詳述本發明實施例之各層面。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可能任意地放大或縮小元件的尺寸,以清楚地表現出本發明實施例的特徵。
第1A-1C圖根據一些實施例繪示出形成導孔開口以及溝槽開口於低介電常數介電質中的步驟。
第2A-2C圖根據一些實施例繪示出半導體製造機台。
第3圖根據一些實施例繪示出形成導電材料於導孔開口以及溝槽開口中的步驟。
以下公開許多不同的實施方法或是例子來實行本發明實施例之不同特徵。以下描述具體的元件及其排列以闡述本發明實施例。當然這些實施例僅用以例示,且不該以此限定本發明實施例的範圍。例如,在說明書中提到第一特徵形成於第二特徵之上,其包括第一特徵與第二特徵是直接接觸的實施例,另外也包括於第一特徵與第二特徵之間另外有其他特徵的實施例,亦即,第一特徵與第二特徵並非直接接觸。此外,本發明實施例可能重複各種示例中的附圖標記和/或字母。上述重複是為了達到簡明和清楚的目的,而非用來限定所討論的各種實施例和/或配置之間的關係。
此外,其中可能用到與空間相關用詞,例如“在...下方”、“下方”、“較低的”、“上方”、“較高的”及類似的用詞,這些空間相關用詞係為了便於描述圖示中一個(些)元件或特徵與另一個(些)元件或特徵之間的關係,這些空間相關用詞包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),則其中所使用的空間相關形容詞也將依轉向後的方位來解釋。
將以特定實施例描述本揭露之實施例,例如:用於20nm、16nm、10nm、7nm或5nm製程節點之導孔先(via first)而溝槽後(trench last)的雙鑲嵌製程中的清洗製程。然而,於此 所述的概念可應用於任何適當的製程。應理解的是,可應用本揭露實施例所述之方法於半導體晶圓中及/或上形成半導體裝置。
請參照第1A-1C圖,第1A圖繪示出具有主動裝置(未個別繪示於圖中)之基板101、金屬化層103(包括未標示之介電材料以及上述介電材料中之導電元件105)、第一蝕刻停止層107、第二蝕刻停止層109、第三蝕刻停止層110以及第一介電層111。在一實施例中,基板101可包括摻雜或未摻雜之塊狀矽或絕緣層上矽基板(silicon-on-insulator(SOI)substrate)之主動層。大抵而言,絕緣層上矽基板包括一半導體材料層(例如:矽、鍺、矽鍺(silicon germanium)、絕緣層上矽、絕緣層上矽鍺(silicon germanium on insulator,SGOI)或上述之組合)。亦可使用其他基板,包括多層基板、梯度基板或混合定向基板(hybrid orientation substrates)。
上述主動裝置包括各種主動裝置,例如:電晶體及類似之裝置,而被動裝置(例如:電容器、電阻器、感應器及類似之裝置)可被用來產生設計上所需之結構及功能構件。可使用任何適當之方法於基板101之中或者之上形成上述主動裝置及被動裝置。
金屬化層103係形成於基板101以及上述主動裝置之上,且其係被設計來連接各種主動裝置以形成設計之功能電路(functional circuitry)。在一實施例中,金屬化層係由交替之介電質以及導電材料之膜層所形成,且可經由任何適當的製程(例如:沉積、鑲嵌(damascene)、雙鑲嵌(dual damascene)等)形 成上述金屬化層。在一實施例中,可具有以至少一個層間介電層(interlayer dielectric layer,ILD)與基板101分隔的一至四個金屬化層,但金屬化層之確切的數量係取決於設計。
導電元件105可形成於金屬化層103之上部(upper portion)中,且其為內連線(interconnect)305(未繪示於第1A圖中但後文將以第3圖繪示並描述之)將形成物理性及電性連接的區域。在一實施例中,導電元件105可為使用如鑲嵌或雙鑲嵌製程所形成的如銅之材料,經由上述製程形成開口於金屬化層103的上部中,以如銅之導電材料填充且/或過填充(overfill)上述開口並進行平坦化製程以使上述導電材料鑲嵌於(embed)金屬化層103中。然而,可使用任何適當的材料以及任何適當的製程形成導電元件105。
第一蝕刻停止層107係被用來保護下方之結構以及提供用於通過如第二蝕刻停止層109之後續蝕刻製程的控制點。在一實施例中,第一蝕刻停止層107可由使用電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)之氮氧化鋁(aluminum oxynitride,AlON)所形成,但可使用其他材料(例如:氮化物、碳化物、硼化物上述之組合或類似之材料)以及形成第一蝕刻停止層107之替代技術(例如:低壓化學氣相沉積(low pressure CVD,LPCVD)、物理氣相沉積(PVD)或類似之技術)作為替代。第一蝕刻停止層107之厚度可約為50Å至2,000Å(例如:約為200Å)。
在形成第一蝕刻停止層107以覆蓋導電元件105之後,形成第二蝕刻停止層109於第一蝕刻停止層107之上。在一 實施例中,第二蝕刻停止層109係由如摻碳氧化物(例如:SiOC)之材料所形成,但亦可使用任何其他適當的材料。可使用沉積製程(例如:化學氣相沉積、物理氣相沉積、原子層沉積或類似之製程)形成第二蝕刻停止層109之材料,且可沉積至約10Å至約200Å(例如:約40Å)之厚度。然而,可使用任何適當的形成製程及厚度。
在形成第二蝕刻停止層109之後,形成第三蝕刻停止層110於第二蝕刻停止層109之上。在一實施例中,第三蝕刻停止層110係由如氧化鋁之材料所形成,但亦可使用任何適當的材料,例如:氮化鋁。可使用沉積製程(例如:化學氣相沉積、物理氣相沉積、原子層沉積或類似之製程)形成第三蝕刻停止層110之材料,且可沉積至約10Å至200Å(例如:約40Å)之厚度。然而,可使用任何適當的形成製程及厚度。
在形成第三蝕刻停止層110之後,可形成第一介電層111以助於將內連線305(未繪示於第1A-1C圖中,但後文將以第3圖進一步繪示並描述之)與其他相鄰之電氣佈線(electrical routing lines)隔離。在一實施例中,第一介電層111可為如用於幫助隔離內連線305與其他結構的低介電常數介電膜。經由將內連線305隔離,可降低內連線305之電阻電容延遲(resistance-capacitance(RC)delay),從而改善通過內連線305之電性的整體效率及速度。
在一實施例中,第一介電層111可為多孔材料(例如:SiOCN、SiCN或SiOC),且可先形成前驅層於第三蝕刻停止層110上以形成第一介電層111。上述前驅層可同時包括基質材料 (matrix material)以及散置於上述基質材料中之成孔劑(porogen),或者(作為替代方案)可包括沒有上述成孔劑之基質材料。在一實施例中,舉例而言,可使用如電漿輔助化學氣相沉積(PECVD)之製程同時沉積基質材料與成孔劑以共同沉積(co-depositing)基質材料以及成孔劑而形成前驅層,因此所形成之前驅層具有混合在一起之基質材料以及成孔劑。然而,所屬領域具通常知識者應當理解,使用同步的(simultaneous)電漿輔助化學氣相沉積製程之共同沉積並非是唯一可被用來形成前驅層的製程。亦可使用任何適當的製程,例如:預先混合液態之基質材料以及成孔劑材料,接著將其混合物旋轉塗佈至金屬化層103上。
可將上述前驅層形成至足夠的厚度以提供所預期之第一介電層111之隔離以及佈線特性。在一實施例中,所形成之前驅層的厚度T1可約為10Å至1000Å(例如:約為300Å)。然而,此些厚度僅是用來作為說明而並非用來限定實施例的範圍,且前驅層之確切的厚度可為所預期之任何適當的厚度。
可使用如電漿輔助化學氣相沉積之製程形成上述基質材料(或介電基材),但亦可使用任何適當之製程作為替代,例如:化學氣相沉積製程、物理氣相沉積製程或者甚至是旋轉塗佈製程。上述電漿輔助化學氣相沉積製程可使用前驅物,例如:甲基二乙氧基矽烷(methyldiethoxysilane,DEMS),但亦可使用其他前驅物作為替代,例如:其他矽烷(silanes)、烷基矽烷(alkylsilanes,例如:三甲基矽烷(trimethylsilane)以及四甲基矽烷(tetramethylsilane))、烷氧基矽烷(alkoxysilanes,例 如:甲基三乙氧基矽烷(methyltriethoxysilane,MTEOS)、甲基三甲氧基矽烷(methyltrimethoxysilane,MTMOS)、甲基二甲氧基矽烷(methyldimethoxysilane,MDMOS)、三甲基甲氧基矽烷(trimethylmethoxysilane,TMMOS)以及二甲基二甲氧基矽烷(dimethyldimethoxysilane,DMDMOS))、線性矽氧烷(linear siloxanes)以及環狀矽氧烷(cyclic siloxanes,例如:八甲基環四矽氧烷(octamethylcyclotetrasiloxane,OMCTS)以及四甲基環四矽氧烷(tetramethylcyclotetrasiloxane,TMCTS))、上述之組合或類似之材料。然而,所屬領域具通常知識者應當理解,可使用任何其他適當之基質前驅物作為替代方案,因此於此所列出之材料以及製程僅用於說明而非用來限定實施例。
在使用散置於基質材料中之成孔劑形成前驅層之後,將成孔劑自基質材料移除以於基質材料中形成孔隙。在一實施例中,以退火製程移除成孔劑,上述退火製程可分解(break down)並汽化(vaporize)成孔劑材料,使得成孔劑材料可擴散並離開基質材料,從而留下結構完整之多孔介電材料作為第一介電層111。舉例而言,可使用約200℃至約500℃(例如:約400℃)、約10秒至約600秒(例如:約200秒)之退火。
然而,所屬領域具通常知識者應當理解,前述之熱製程並非是可用來將成孔劑自基質材料移除以形成第一介電層111的唯一方法。亦可使用其他適當的製程作為替代,例如:使用紫外光照射成孔劑以分解成孔劑或使用微波(microwaves)分解成孔劑。移除全部或部分之成孔劑之此些製程或任何其他適當的製程全部都應被包括在本發明實施例之 範圍中。
第1A圖另外繪示出了抗反射層(anti-reflective layer)113之配置。在一實施例中,抗反射層113可為無氮(nitrogen-free)抗反射塗層,且可包括高分子樹脂、催化劑以及交聯劑(cross-linking agent),上述高分子樹脂、催化劑以及交聯劑全部被放入溶劑中並被分散。上述高分子樹脂包括具有重複單元之高分子鍊(polymer chain),例如:交聯單體(cross-linking monomer)以及具有色團單元之單體(monomer with chromophore units)。在一實施例中,具有色團單元之單體可包括包含取代(substituted)及未取代(unsubstituted)之苯基(phenyl)、取代及未取代之蒽基(anthracyl)、取代及未取代之菲基(phenanthryl)、取代及未取代之萘基(naphthyl)、取代及未取代之如哌喃基(pyranyl)或吖啶基(acridinyl)之雜環(heterocyclic rings,其包含如氧、硫或上述之組合之雜原子(heteroatoms))的乙烯係化合物(vinyl compounds)。此些單元中的取代基可以是任何烴基(hydrocarbyl group,如碳原子數量為1至12之烯烴(alkylenes)、酯(ester)、醚(ethers)、上述之組合或類似之取代基)且可更包含雜原子(例如:氧、硫或上述之組合)。
此些交聯單體可被用來將單體與高分子樹脂中之其他高分子交聯以改變抗反射層113之可溶性,且此些交聯單體可視需求而具有酸不穩定基團(acid labile group)。在一特定的實施例中,交聯單體可包括碳氫鏈(hydrocarbon chain),其亦包括如氫氧基(hydroxyl group)、羧酸基(carboxyl acid group)、羧酸酯基(carboxylic ester group)、環氧基(epoxy groups)、胺甲酸乙酯基(urethane groups)、醯胺基(amide groups)上述之組合或類似之基團。
催化劑可以是用於產生化學活性物質(chemically active species)且引發高分子樹脂內高分子之間之交聯反應的化合物,且可為如熱酸產生劑(thermal acid generator)、光酸產生劑(photoacid generator)、光鹼產生劑(photobase generator)、上述之適當的組合或類似之產生劑。在一催化劑係為熱酸產生劑的實施例中,當足夠的熱施加到抗反射層113時,催化劑將產生酸。熱酸產生劑的具體例子包括丁烷磺酸(butane sulfonic acid)、三氟甲磺酸(triflic acid)、九氟丁烷磺酸(nanoflurobutane sulfonic acid)、硝基芐基甲苯磺酸酯(nitrobenzyl tosylates,例如:2-硝基芐基甲苯磺酸酯(2-nitrobenzyl tosylate)、2,4-二硝基芐基甲苯磺酸酯(2,4-dinitrobenzyl tosylate)、2,6-二硝基芐基甲苯磺酸酯(2,6-dinitrobenzyl tosylate)、4-硝基芐基甲苯磺酸酯(4-nitrobenzyl tosylate))、苯磺酸酯(benzenesulfonates,例如:2-三氟甲基-6-硝基芐基4-氯苯磺酸酯(2-trifluoromethyl-6-nitrobenzyl 4-chlorobenzenesulfonate)、2-三氟甲基-6-硝基芐基4-硝基苯磺酸酯(2-trifluoromethyl-6-nitrobenzyl 4-nitro benzenesulfonate))、酚磺酸酯(phenolic sulfonate esters,例如:苯基4-甲氧基苯磺酸酯(phenyl,4-methoxybenzenesulfonate))、有機酸之烷基銨鹽(alkyl ammonium salts of organic acids,例如:10-樟腦磺酸(10-camphorsulfonic acid)之三乙銨鹽(triethylammonium salt))、上述之組合或類似之熱酸產生劑。
亦可將交聯劑加入抗反射層113。交聯劑與抗反射層113中之高分子樹脂中之高分子反應而有助於增加光阻之交聯密度(cross-linking density),藉此可有助於改善阻劑圖案以及抗乾蝕刻的能力。在一實施例中,交聯劑可為三聚氰胺系交聯劑(melamine based agent)、尿素系交聯劑(urea based agent)、乙烯尿素系交聯劑(ethylene urea based agent)、丙烯尿素系交聯劑(propylene urea based agent)、甘脲系交聯劑(glycoluril based agent)、具有羥基(hydroxyl group)、羥烷基(hydroxyalkyl group)或上述之組合的脂族環狀烴(aliphatic cyclic hydrocarbon)、包含氧的脂肪族環狀烴衍生物(derivatives of the aliphatic cyclic hydrocarbon)、甘脲化合物(glycoluril compounds)、醚化的氨基樹脂(etherified amino resins)、聚醚多元醇(polyether polyol)、聚縮水甘油醚(polyglycidil ether)、乙烯基醚(vinyl ether)、三氮雜苯(triazine)、上述之組合或類似之交聯劑。
可將用於抗反射層113的材料放入溶劑中以將其分散(dispersal)。在一實施例中,上述溶劑可為有機溶劑,且可包括任何適當的溶劑,例如:酮(ketones)、醇(alcohols)、多元醇(polyalcohols)、醚(ethers)、醇醚(glycol ethers)、環狀醚(cyclic ethers)、芳香族烴(aromatic hydrocarbons)、酯(esters)、丙酸鹽(propionates)、乳酸鹽(lactates)、乳酸酯(lactic esters)、亞烷基二醇單烷基醚(alkylene glycol monoalkyl ethers)、乳酸烷基酯(alkyl lactates)、烷氧基丙酸烷基酯(alkyl alkoxypropionates)、環內酯類(cyclic lactones)、包含環的單酮 化合物(monoketone compounds)、亞烷基碳酸酯(alkylene carbonates)、烷基烷氧基乙酸酯(alkyl alkoxyacetate)、烷基丙酮酸鹽(alkyl pyruvates)、乳酸酯(lactate esters)、乙二醇烷基醚乙酸酯(ethylene glycol alkyl ether acetates)、二甘醇(diethylene glycols)、丙二醇烷基醚乙酸酯(propylene glycol alkyl ether acetates)、亞烷基二醇烷基醚酯(alkylene glycol alkyl ether esters)、亞烷基二醇單烷基酯(alkylene glycol monoalkyl esters)或類似之溶劑。
在準備好用於抗反射層113的材料之後,用於抗反射層113的材料在使用上可先將用於抗反射層113的材料塗佈至第一介電層111上。可將用於抗反射層113的材料塗覆於第一介電層111,使得用於抗反射層113的材料覆蓋第一介電層111之露出的上表面,且可使用如旋轉塗佈製程(spin-on coating process)、浸塗法(dip coating method)、氣刀塗佈法(air-knife coating method)、幕塗法(curtain coating method)、線棒塗佈法(wire-bar coating method)、凹版塗佈法(gravure coating method)、層壓法(lamination method)、擠壓塗佈法(extrusion coating method)、上述之組合或類似之方法塗覆用於抗反射層113的材料。在一實施例中,可塗覆用於抗反射層113的材料,使其具有約50nm至500nm(例如:300nm)之厚度。
在形成抗反射層113之後,可形成第一硬罩幕115於抗反射層113之上。在一實施例中,第一硬罩幕115可為具有與第二蝕刻停止層109之材料不同蝕刻選擇性的罩幕材料,例如:TiN,但可使用任何其他適當的材料(例如:氧化鈦)。可使用 沉積製程(例如:化學氣相沉積、物理氣相沉積、原子層沉積、上述之組合或類似之製程)形成第一硬罩幕115,且可將第一硬罩幕115形成至約為50Å至800Å(例如:約300Å)之初始第二厚度T2。然而,可使用任何適當的厚度。
在形成之後,可將第一硬罩幕115圖案化,以提供用於後續蝕刻製程(例如:後文所述之第一蝕刻製程121)的罩幕層以形成導孔開口119。在一實施例中,可配置第一光阻118於第一硬罩幕115上,然後曝光並顯影第一光阻118而形成一圖案化光阻,以圖案化第一硬罩幕115。在一實施例中,第一光阻118為三層光阻(tri-layer photoresist),其具有底部抗反射塗層(bottom anti-reflective coating(BARC)layer)、中間罩幕層以及頂部光阻層(未個別繪示於第1A圖中)。然而,可使用任何適當類型的光敏材料或材料之組合。在圖案化第一光阻118後,接著可使用如異向性蝕刻製程(例如:反應式離子蝕刻製程(reactive ion etching process))將第一光阻118之圖案轉移至第一硬罩幕115。然而,可使用任何適當的製程。
在一實施例中,可圖案化第一硬罩幕115以形成用於導孔開口119的罩幕。因此,可圖案化第一硬罩幕115,使得導孔開口119具有約10nm至40nm(例如:約為25nm)的第一寬度W1。然而,可使用任何適當的尺寸。
在圖案化第一硬罩幕115後,可使用第一蝕刻製程(於第1A圖中以標示為121的波浪線表示)將第一硬罩幕115之圖案轉移至第一介電層111。在一實施例中,第一蝕刻製程121可為如使用適用於蝕刻第一介電層111之蝕刻劑的異向性蝕刻 製程(例如:反應式離子蝕刻)。然而,可使用任何適當的蝕刻方法或蝕刻方法的組合。
經由使用第一蝕刻製程121以及第一硬罩幕115,第一硬罩幕115之圖案被轉移至抗反射層113,然後轉移至第一介電層111而形成導孔開口119。在一實施例中,將圖案自第一硬罩幕115轉移至第一介電層111中有助於將內連線305之導孔部分(via portion)307形成至第一介電層111之中。在一特定的實施例中,可經由第一蝕刻製程121形成內連線305之導孔部分307以延伸進入第一介電層111至約為200Å至2000Å(例如:約800Å)的第一深度D1。然而,可使用任何適當的深度。
如第1B圖所示,在形成導孔開口119後,移除第一光阻118。在一實施例中,使用如灰化(ashing)之製程移除第一光阻118,其係藉由升高第一光阻118的溫度直到第一光阻118經歷熱分解而可容易地移除第一光阻118。然而,可使用任何其他適當的製程,例如:濕式蝕刻或乾式蝕刻,或者甚至是可使用與形成導孔開口119同一個蝕刻製程移除第一光阻118。
在移除第一光阻118後,可沉積並圖案化第二光阻125以形成有助於圖案化溝槽開口129的開口。在一實施例中,第二光阻125係為三層光阻,其具有底部抗反射塗層、中間罩幕層以及頂部光阻層(未個別繪示於第1B圖中)。然而,可使用任何適當類型的光敏材料或材料之組合。
在配置第二光阻125於第一硬罩幕115以及導孔開口119上之後,圖案化第二光阻125。在一實施例中,可藉由將第二光阻125中之光敏材料(例如:三層光阻中的頂部光阻層)經由如光罩(reticle)暴露於圖案化能量源(例如:光)以圖案化第二光阻125。能量的影響將在那些受圖案化能量源影響之光敏材料的部分中引起化學反應,藉此改變光阻之露出部分的物理性質,使得第二光阻125之露出部分的物理性質不同於第二光阻125之未露出部分的物理性質。接著可使用如顯影劑(未個別繪示於圖中)將第二光阻125顯影,以將第二光阻125之露出部分自第二光阻125之未露出部分分離,而頂部光阻層的圖案可被延伸穿過中間罩幕層以及底部抗反射塗層。
在一實施例中,可圖案化第二光阻125,使得第二光阻125可被用來形成通過第一介電層111之溝槽開口129。因此,所形成之第二光阻125可具有第二寬度W2約為40nm至150nm(例如:約為50nm)之開口。然而,可使用任何適當的尺寸。
在配置並圖案化第二光阻125後,可使用第二蝕刻製程(於第1B圖中以標示為127的波浪線表示)形成溝槽開口129,上述溝槽開口129將被填充以形成內連線305之溝槽部分(trench portion)303。在一實施例中,第二蝕刻製程127可類似於第一蝕刻製程121。舉例而言,第二蝕刻製程127可為使用對於第一介電層111具有選擇性之蝕刻劑的異向性蝕刻製程(例如:反應式離子蝕刻製程)。
第二蝕刻製程127將形成溝槽開口129並且將導孔開口119一直延伸到穿過第一介電層111,且將露出下方之第三蝕刻停止層110。選擇第三蝕刻停止層110的材料以終止或至少減慢第二蝕刻製程127並避免第二蝕刻製程127蝕刻穿過第三蝕刻停止層110。因此,導孔開口119可延伸進入但未穿過第三 蝕刻停止層110。
第1C圖更繪示出導孔開口119可被延伸穿過第三蝕刻停止層110以及第二蝕刻停止層109。在一實施例中,使用一或多個濕式蝕刻製程進行將導孔開口119貫穿第三蝕刻停止層110以及第二蝕刻停止層109的步驟,在上述一或多個濕式蝕刻製程中將液態蝕刻劑與第三蝕刻停止層110接觸,然後與第二蝕刻停止層109接觸。
在將第三蝕刻停止層110以及第二蝕刻停止層109圖案化以延伸導孔開口119後,可將導孔開口119延伸穿過第一蝕刻停止層107。在一實施例中,將導孔開口119延伸穿過第一蝕刻停止層107之步驟係露出了下方之導電元件105,且可使用如濕式或乾式蝕刻製程進行,但可使用任何適當的方法。
在露出導電元件105後,可進行襯層移除(liner removal)步驟,以自第一介電層111移除任何可能已進入溝槽開口129以及導孔開口119的材料。在一實施例中,可使用如乾蝕刻之蝕刻製程進行上述襯層移除步驟。舉例而言,在一實施例中,襯層移除之乾蝕刻步驟可清洗上述開口並至少部分地圓化導孔開口119以及溝槽開口129的角部。然而,可使用任何適當的尺寸。
如第1C及2A-2C圖所示,在露出導電元件105並進行上述襯層移除步驟之後,使用清洗/剝除製程移除第二光阻125(上述清洗/剝除製程移除第二光阻125且移除從先前製程留下的任何殘留的殘留物131(例如:蝕刻殘留物)),在配置第一阻障層301(未繪示於第2A-2C圖中,但將以第3圖於後文描述之)之前清洗及預清洗溝槽開口129以及導孔開口119的表面,以及視情況釋放可能已累積在上述之露出表面上的電荷。在一實施例中,清洗製程可包括濕式清洗製程250(亦可稱為濕式蝕刻清洗製程)以及其後之電漿處理,上述兩者係於單一、一體(all-in-one)、複合的(combined)製程機器(process machine)200中進行而不會暴露在外部氣壓(exterior atmosphere)中。舉例而言,如第2A圖所示,複合的製程機器(亦可稱為半導體裝置製造機台或製程機台)200包括濕式蝕刻區(wet etching section)202與電漿處理區(plasma treatment section)204以及濕式蝕刻區202與電漿處理區204之間的傳送站206,其全部皆被封裝在外殼281內,上述外殼281將濕式蝕刻區202、電漿處理區204、傳送站206與外部環境分隔。
在一實施例中,濕式蝕刻區202包括進料槽(feed tank)210,以提供適當的第一蝕刻劑供應至複數個濕式蝕刻站(亦可稱為濕式蝕刻清洗站或濕式蝕刻腔)208的每一者。第一蝕刻劑係被用以自導孔開口119以及溝槽開口129中移除殘留物131,因此,其係至少部分地取決於先前製程當中所使用之材料以及產品。然而,在第一蝕刻停止層107為氮氧化鋁、導電元件105為銅、上述殘留物為氟化高分子(fluorinated polymer)的一實施例中,第一蝕刻劑可為包括氧化劑以及溶劑的溶液。
舉例而言,在一實施例中,上述氧化劑可為過氧化氫(hydrogen peroxide),但亦可使用任何其他適當的氧化劑(例如:臭氧水(ozone water)或磷酸鹽(phosphate salts))。在一實施例中,可以約小於25%(例如:約15%)的濃度將氧化劑放入上 述溶液中。然而,可使用任何適當的濃度。
有機溶劑係被用來稀釋以及輸送氧化劑。在一實施例中,有機溶劑可為有機液體,例如:乙二醇(ethylene glycol)、丙二醇甲醚醋酸酯(propylene glycol monomethyl ether)、二甘醇單乙醚(diethylene glycol monoethyl ether)、二乙二醇單丁醚(diethylene glycol monobutyl ether)、四氫噻吩-1,1-二氧化物(tetrahydrothiophene-1,1-dioxide)、二甲基亞碸(dimethylsulfoxide)、N-N二甲基乙酰胺(N-N-dimethylacetamide)、4-甲基嗎啉(4-methylmorpholine)、4-甲基嗎啉N-氧化物(4-methylmorpholine N-oxide)、4-甲氧基吡啶-N-氧化物水合物(4-methoxypyridine-N-oxide hydrate)、氫氧化銨(ammonium hydroxide)、四甲基氫氧化銨(tetramethyl ammonium hydroxide)、四乙基氫氧化銨(tetraethylammonium hydroxide)、上述之混合物或類似之有機溶劑。上述溶液中之有機溶劑的濃度可約為5%至50%(例如:約為30%)。然而,可使用任何適當的材料或濃度。
視需求而定,可將其他添加物放入用於第一蝕刻劑的溶液中。舉例而言,可將蝕刻抑制劑(etching inhibitors,例如:苯並三唑(benzotriazole)或咪唑(imidazole))放入上述溶液中以較佳地控制第一蝕刻劑的蝕刻特性。可將任何適當的添加物加入用於第一蝕刻劑的溶液中。
可經由連接至適當設備的第一輸入端212將第一蝕刻劑放入進料槽(feed tank)210(或稱為日用槽(day tank))。視需求而定,若以分開的方式接收第一蝕刻劑的組成(例如:氧化 劑、有機溶劑以及任何其他的添加物),可視需求於進料槽210的上游設置一混合單元(mixing unit,未個別繪示於圖中)。在此實施例中,在被放入進料槽210之前,各個組成被放入混合單元中並被混合。在一些實施例中,第一輸入端212可連接至上述混合單元。
進料槽210另外具有第二輸入端214以回收已被濕式蝕刻站208使用之第一蝕刻劑。舉例而言,進料槽210之第二輸入端214係連接至濕式蝕刻站208之每一者各自的輸出端,使得於濕式蝕刻站208中第一蝕刻劑(例如:於濕式蝕刻製程250中)被使用之後,已使用之第一蝕刻劑可被回收至進料槽210。舉例而言,第二輸入端214亦可稱為回收輸入端(recycle input)。
為了使經使用之第一蝕刻劑回復以使第一蝕刻劑可被回收並作另一次使用,進料槽210亦包括第三輸入端216(和相關的流量計217)以接收第一蝕刻劑之額外組成(或成分)而回復第一蝕刻劑之有效性。舉例而言,可經由第三輸入端216輸入額外數量的氧化劑(氧化物添加(oxidizer spike)),以提高進料槽210中之氧化劑濃度並有助於補充於濕式蝕刻製程250中在濕式蝕刻站208中被使用或流失之氧化劑。舉例而言,第三輸入端216亦可稱為添加輸入端(spike input)。
類似地,亦可添加額外數量的溶劑或其他添加物以回復或者保持所預期之第一蝕刻劑之執行能力。在一些實施例中,可採集並分析第一蝕刻劑的樣品(來自於日用槽或者濕式蝕刻站208),以決定每一組成的補充數量(如果有需要的話)。接著可經由第三輸入端216加入上述每一組成的補充數 量。
進料槽210之第一輸出端218係通往每一濕式蝕刻站208(後文將以第2B圖更進一步描述之)各自之輸入端,以提供每一濕式蝕刻站208用於在濕式蝕刻站208中進行之濕式蝕刻製程250之新的(fresh)第一蝕刻劑。視需求而定,第一輸出端218亦包括額外的單元,上述單元有助於在第一蝕刻劑離開進料槽210之後以及第一蝕刻劑於濕式蝕刻製程250中被使用之前控制第一蝕刻劑之物理性質。舉例而言,第一輸出端218可包括控制第一蝕刻劑之流量的第一泵浦(pump)262、用來控制第一蝕刻劑之溫度的第一加熱器264以及用來協助純化第一蝕刻劑的第一過濾器266。然而,可使用任何適當的控制系統。
第一輸出端218係將第一蝕刻劑提供至數個濕式蝕刻站208。在第2A圖所繪示的實施例中,第一輸出端218係將第一蝕刻劑提供至四個濕式蝕刻站208。然而,此濕式蝕刻站208之數量僅是用來說明。任何適當數量之濕式蝕刻站208可被使用於複合的製程機器200之濕式蝕刻區202中。
第2B圖繪示出一單一濕式蝕刻站208之一實施例的俯視圖。在此實施例中,濕式蝕刻站208係為旋轉(spin-on)式的站點且包括可旋轉吸座(rotatable chunk)224、分配臂(dispensing arm)226以及軌道232。可旋轉吸座224接收基板101並於製程中固持基板101。
分配臂226具有噴嘴228以將第一蝕刻劑分配(dispense)至基板101上,使得第一蝕刻劑與殘留物131產生物理接觸。在一實施例中,分配臂226可相對於可旋轉吸座224移 動,使得分配臂226可移動於基板101之上(於第2B圖中以箭頭以及以虛線繪示之分配臂表示)以均勻地分配第一蝕刻劑。分配臂226可經由軌道232的幫助來回地移動,軌道232提供固定的基準以輔助分配臂226的移動。
於濕式清洗製程150中,基板101被放置在可旋轉吸座224上,且可旋轉吸座224固持住基板101並以約100rpms至1000rpms(例如:約為500rpms)的速度旋轉,但可使用任何適當的速度。當可旋轉吸座224旋轉時,分配臂226可移動於基板101之上並開始經由噴嘴228將第一蝕刻劑分配至基板101上。基板101之旋轉有助於將第一蝕刻劑平均地散佈於基板101各處並降低由第一蝕刻劑之不均勻分配所引起的清洗變異。
在一實施例中,可在約25℃至60℃(例如:約50℃)的溫度下散佈第一蝕刻劑。此外,可在約為500mL/min至2500mL/min(例如:約為1500mL/min)之流量下分配第一蝕刻劑。為了有助於確保移除殘留物131,濕式蝕刻製程250可持續約30秒至240秒(例如:約120秒)。然而,亦可使用任何適當的製程條件以及時間。
此外,所屬領域具通常知識者應當理解,第2B圖中所繪示及前文所述之旋轉配置僅是用來說明而非用來限定本揭露之實施例。相反地,可使用任何濕式蝕刻站208之適當的配置以施與第一蝕刻劑,例如:可使用浸塗配置(dip coating configuration)、氣刀塗佈配置(air-knife coating configuration)、幕塗配置(curtain coating configuration)、線棒塗佈配置(wire-bar coating configuration)、凹版塗佈配置 (gravure coating configuration)、層壓配置(lamination configuration)、擠壓塗佈配置(extrusion coating configuration)、上述之組合或類似之配置作為替代。此些適當之濕式蝕刻站208之配置應被包括在本揭露實施例的範圍之中。
在完成濕式蝕刻製程250後,可移除第一蝕刻劑且可在自濕式蝕刻站208取出之前乾燥基板101。在一實施例中,可藉由停止經由噴嘴228流送第一蝕刻劑同時保持至少部分地旋轉以甩掉殘留的第一蝕刻劑,而乾燥基板101。此外,若有需要,亦可將如去離子水之清洗(rinsing)材料分配至基板101上以幫助移除第一蝕刻劑。最後,可使用乾燥製程乾燥基板101,例如:分配如異丙醇(IPA)之乾燥材料並施加氮氣(N2)。然而,可使用任何適當之移除第一蝕刻劑以及使基板101乾燥的方法。
回到第2A圖,在於濕式蝕刻站208內完成濕式蝕刻製程250後,可以傳送站206將基板101自濕式蝕刻站208移出。在一實施例中,傳送站206可為一或多個機械臂(未個別繪示於第2A圖中),其可夾持、移動基板101並將基板101自濕式蝕刻站208傳送到如電漿處理區204。在一實施例中,機械臂可延伸至濕式蝕刻站208中、夾持基板101並將基板101傳送至傳送站206中。一旦進入,傳送站206可具有關閉以隔離傳送站206與濕式蝕刻站208的門,使得濕式蝕刻站208可再一次開始另一處理。在隔離自濕式蝕刻站208後,傳送站206可通向下一站(例如:電漿處理系統201),而上述機械臂(仍夾持著基板101)可延 伸至上述之下一站並放置基板101以作進一步之處理。
第2C圖繪示出可用以處理露出表面之數個電漿處理系統201之一者(與輸入端和排出端一起)的實施例。在一實施例中,電漿處理系統201可包括可將一或多種處理氣體輸送至電漿處理腔(亦可稱為電漿處理站)254的處理氣體輸送系統(treatment gas delivery system)203。處理氣體輸送系統203經由處理氣體控制器213以及歧管(manifold)205將各種所需的處理氣體提供至電漿處理腔254。經由處理氣體輸送系統203控制載送氣體的流動及壓力,處理氣體輸送系統203亦可有助於控制進入電漿處理腔254之處理氣體的流量。
在一實施例中,處理氣體輸送系統203可包括數個處理氣體提供器(亦可稱為處理氣體供應器)211以及視需求配置之載送氣體提供器207。此外,為了簡明起見,於第2C圖中只繪示出兩個處理氣體提供器211,但可使用任何適當數量之處理氣體提供器211,例如:每一個預期會被使用於電漿處理系統201中之氣體對應一個處理氣體提供器211。舉例而言,在一個使用五種個別的處理氣體的實施例中,可具有五個個別的處理氣體提供器211。
每一個別的處理氣體提供器211可為一容器(例如:儲氣槽),其可位於電漿處理腔254之局部區域或遠離電漿處理腔254。作為替代方案,處理氣體提供器211可為獨立地準備並輸送所需之處理氣體的設備。可使用任何適當之所需處理氣體的來源作為處理氣體提供器211,且此些來源全部皆應被包括在本揭露實施例的範圍中。
在一實施例中,個別的處理氣體提供器211經由具有第一閥258之第一管線252將處理氣體提供至處理氣體控制器213。以控制器227控制第一閥258,控制器227係控制並調節傳送至電漿處理腔254之各種處理及載送氣體的傳送。
視需求而定,在處理氣體提供器211中之處理氣體本身並非惰性氣體的一實施例中,載送氣體提供器207可提供所需之載送氣體或稀釋氣體,上述載送氣體或稀釋氣體可被用來幫助將各種所需之處理氣體推動或“載送”至電漿處理腔254。載送氣體可為與處理氣體不會反應或與處理氣體反應後之副產物不會反應的惰性氣體或其他氣體。舉例而言,載送氣體可為氮氣(N2)、氦(He)、氬氣(Ar)、上述之組合或類似之氣體,但可使用其他適當之載送氣體。
載送氣體提供器207(或稀釋劑提供器)可為容器(例如:儲氣槽),其可位於電漿處理腔254之局部區域或遠離電漿處理腔254。作為替代方案,載送氣體提供器207獨立地準備並將載送氣體輸送至處理氣體控制器213的設備。可使用任何適當之載送氣體來源作為作為載送氣體提供器207,且此些來源全部皆應被包括在本揭露實施例的範圍中。載送氣體提供器207可經由具有第二閥256的第二管線260將所需之載送氣體提供至處理氣體控制器213,具有第二閥256的第二管線260將載送氣體提供器207連接至第一管線252。亦以控制器227控制第二閥256,控制器227係控制並調節傳送至電漿處理腔254之各種蝕刻劑以及載送氣體。在結合之後,上述管線可被導向處理氣體控制器213,以控制氣體進入電漿處理腔254的情形。
電漿處理腔254可為可適用於散佈處理氣體並使處理氣體與基板101接觸之任何想要的形狀。在第2C圖所繪示的實施例中,電漿處理腔254具有圓柱形側壁以及底部。然而,電漿處理腔254並非限定為圓柱形,且可使用任何其他適當的形狀作為替代,例如:中空方管(hollow square tube)、八角形(octagonal shape)或類似的形狀。此外,電漿處理腔254可被由對於各種製程材料具有惰性之材料所形成之腔室殼體(chamber housing)215包圍。確切而言,腔室殼體215可為可承受處理過程中涉及之化學物質以及壓力之任何適當之材料,在一實施例中,腔室殼體215可為鋼、不鏽鋼、鎳、鋁、上述之合金、上述之組合或類似之材料。
在電漿處理腔254中設置有固持平台(mounting platform)245,以於非液體(non-liquid)之電漿處理製程270中定位並控制基板101。固持平台245可使用夾鉗(clamps)、真空壓力及/或靜電力(electrostatic forces)之組合夾持基板101,且亦可包括加熱以及冷卻機構以於製程中控制基板101的溫度。在一特定的實施例中,固持平台245可包括四個冷卻區域(cooling zone)(例如:內部溫度區域、中內部溫度區域、中外部溫度區域以及外部溫度區域(未個別繪示)),以於處理製程中加熱及冷卻基板101。各溫度區域可使用氣體或液體之熱傳送材料以於處理製程中精確地控制基板101的溫度,但可使用任何適當數量之加熱或冷卻區域作為替代。
固持平台245可更包括耦合(coupled)至第一射頻產生器(RF generator)222的第一電極220。於電漿處理製程270 中,第一電極220可由第一射頻產生器222(在控制器227之控制之下)偏壓在射頻電壓之上。被偏壓後的第一電極220可被用來向進入的處理氣體提供偏壓並幫助將其激發成電漿。此外,經由維持偏壓,第一電極220亦被用來於電漿處理製程270中維持電漿。
此外,雖然於第2C圖中僅繪示出單一固持平台245,這僅是為了清楚起見而非用於限定。相反地,任何數量之固持平台245可被額外地包括在電漿處理腔254中。因此,在單一電漿處理製程期間可蝕刻多個半導體基板。
此外,電漿處理腔254包括噴頭(showerhead)229。在一實施例中,噴頭229自歧管205(例如:經由處理加熱器272)接收各處理氣體並幫助將各處理氣體散佈至電漿處理腔254中。所設計之噴頭229可均勻地散佈處理氣體以最小化由不均勻散佈所引起之不利的製程條件。在一實施例中,噴頭229可具有圓形設計,其開口均勻地分佈在噴頭229周圍而可將所需之處理氣體散佈至電漿處理腔254中。
電漿處理腔254亦包括上電極221,以作為電漿產生器。在一實施例中,電漿產生器可為變壓器耦合型(transformer coupled)電漿產生器,且舉例而言可為線圈。可將上述線圈連接到被用來提供電源至上電極221(在控制器227的控制之下)的第二射頻產生器223,以於引入處理氣體期間激發電漿。
然而,雖然於前文中將上電極221描述為變壓器耦合型電漿產生器,然而本揭露實施例並非限定為變壓器耦合型 電漿產生器。相反地,可使用任何適當之產生電漿的方法作為替代,例如:感應耦合電漿系統(inductively coupled plasma systems)、磁場強化反應性離子蝕刻(magnetically enhanced reactive ion etching)、電子迴旋加速器共振(electron cyclotron resonance)、遠距電漿產生器(remote plasma generator)或類似之方法。此些方法全部皆應被包括在實施例的範圍當中。
電漿處理腔254亦可連接至一或多個真空泵浦(vacuum pumps)225以自電漿處理腔254排氣。在一實施例中,真空泵浦225受控制器227控制,且可被用來將電漿處理腔254中的壓力控制在預期的壓力。此外,在完成處理製程後,可使用真空泵浦225抽空(evacuate)電漿處理腔254以準備移出基板101。
可將所需之處理氣體放入處理氣體提供器211同時將所需之稀釋劑放入載送氣體提供器207以開始電漿處理製程(於第2C圖中以標示為270的波浪線表示)。因此,雖然確切的處理氣體及載送氣體係至少部分地取決於上方結構(例如:第一蝕刻停止層107、導電元件105、第二蝕刻停止層109等)以及殘留物131之材料,在第一蝕刻停止層107為氮氧化鋁且導電元件105為銅之一特定的實施例中,可將如N2、H2、CH4、O2、臭氧(ozone)、Ar、大氣(Air)、N2H2、N2H4、CxFy、上述之組合或類似之處理氣體放入處理氣體提供器211中。此外,在處理氣體為非反應性(non-reactive)的實施例,載送氣體可為惰性氣體(例如:氬、氦、上述之組合或類似之氣體),且可被放入載送氣體提供器207。然而,可使用任何適當的處理氣體及稀釋劑。
作為可選擇的方案或者作為接續(如果需要的話),可選擇處理氣體以釋放可能在之前的處理製程期間累積並可能造成缺陷的電荷。舉例而言,此些可釋放電荷的氣體可稱為電荷釋放處理氣體。在一實施例中,以處理氣體於電漿處理製程270期間中和(neutralize)已存在電荷的能力或者至少不產生額外的電荷作為依據來選擇處理氣體。在特定的實施例中,所選擇的處理氣體可為用以釋放任一已存在電荷的陽離子(cations)或陰離子(anions),例如:氮氣(N2)或氫氣(H2)。
在將一(或數種)處理氣體放入處理氣體提供器211後,可經由控制器227開始電漿處理製程270,控制器227係將預期之處理氣體提供器211連接至電漿處理腔254,且可視需求將載送氣體提供器207連接至電漿處理腔254。在一特定的實施例中,可以約100mL/h至約300mL/h(例如:約為250mL/h)的流量將處理氣體引入電漿處理腔254中。此外,電漿處理腔254可維持在約25℃至約80℃(例如:約為30℃)的製程溫度以及約0.1Torr至約100Torr(例如:約為50Torr)的製程壓力。然而,可使用任何適當的流量、溫度及壓力。
在將處理氣體引入電漿處理腔254中之後,可將處理氣體激發為電漿。在一實施例中,控制器227開始先在60MHz下施加約為100W至1000W(例如:約為200W)之射頻功率(於上電極221之源功率(source power))並在2MHz下施加約為100W至1000W(例如:約為200W)之射頻功率(於第一電極220之偏壓功率(biased power))。激發步驟可持續約1秒至100秒(例如:約為2秒)以確保電漿之激發。
在激發後,可使用電漿處理製程270處理露出的表面並確保自導孔開口119以及溝槽開口129移除任何殘留的殘留物131。在一實施例中,受控於控制器227之電漿處理製程270將60MHz的射頻功率設置在約200W至2000W(例如:約為1500W)並且將2MHz的射頻功率設置在約200W至2000W(例如:約為1500W)。電漿處理製程270將繼續處理露出的表面約5秒至100秒(例如:約45秒)。然而,可使用任何適當的製程參數及時間。
此外,雖然前文以第2C圖描述蝕刻製程之一具體的實施例,前述內容僅是為了說明而非用來限定實施例。相反地,可使用任何適當之蝕刻劑、載送氣體以及製程條件之組合。此些組合全部應被包括在實施例的範圍之中。
經由使用濕式剝除製程以及電漿處理,可減少或者甚至是完全消除由高分子殘留物之存在所引起的缺陷。此外,亦可避免由濕式剝除及去離子水清洗所引起之金屬腐蝕(例如:氧化)或伽凡尼效應(galvanic effects)。最後,經由電荷釋放,可避免由乾蝕刻引入的電荷所引起之異常的薄膜耗損。這些都有助於後續所沉積之材料(例如:第一阻障層301以及導電材料,後續將以第3圖進一步說明之)達到較佳之黏附及覆蓋情形。
此外,雖然前文所述之清洗製程係包括在電漿處理製程270之前進行之濕式蝕刻製程250,這僅是為了說明而非用來限定。相反地,亦可使用任何適當之製程步驟順序,例如:在濕式蝕刻製程250之後進行電漿處理製程270。任何適當的製程步驟順序都應被包括在實施例的範圍中。
在完成清洗製程後,可沉積第一阻障層301以助於隔離並保護後續形成之導電材料(於後文將作進一步說明)。在一實施例中,第一阻障層301可包括阻障材料(例如:鈦、氮化鈦、上述組合或類似之材料),且可使用如化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)或類似之製程形成第一阻障層301。所形成之第一阻障層301可具有約0.1μm至20μm(例如:約為0.5μm)之厚度。
在形成第一阻障層301以襯於溝槽開口129以及導孔開口119後,以導電材料填充溝槽開口129以及導孔開口119以形成具有導孔部分307(在導孔開口119中)以及溝槽部分303(在溝槽開口129中,且使用虛線繪示出其與導孔部分307之分隔線,然而上述分隔線可能有或可能沒有物理上的指標)的內連線305。上述導電材料可包括銅,但可使用其他適當的材料作為替代,例如:鋁、合金、摻雜多晶矽、上述之組合或類似之材料。可經由沉積晶種層(未個別繪示於圖中)、將銅電鍍至上述晶種層上以及填充並過填充溝槽開口129與導孔開口119,以形成上述導電材料。在填充溝槽開口129以及導孔開口119後,可經由如化學機械研磨(chemical mechanical polishing,CMP)之平坦化製程移除溝槽開口129與導孔開口119外的第一阻障層301、上述晶種層以及導電材料之多餘部分,但可使用任何適當的移除製程。
在一實施例中,一種半導體裝置之製造機台包括第一濕式蝕刻清洗站、第一電漿處理站、運作上位於第一濕式蝕刻清洗站以及第一電漿處理站之間的傳送站、包圍第一濕式蝕刻清洗站、第一電漿處理站以及傳送站之整體的外殼。在一實施例中,半導體裝置製造機台更包括第二濕式蝕刻清洗站。在一實施例中,半導體裝置製造機台更包括運作上連接至第一濕式蝕刻清洗站以及第二濕式蝕刻清洗站兩者的進料槽。在一實施例中,半導體裝置製造機台更包括第二電漿處理站。在一實施例中,半導體裝置製造機台更包括運作上連接至第一電漿處理站以及第二電漿處理站兩者的第一處理氣體供應器。在另一實施例中,第一處理氣體供應器包含電荷釋放處理氣體。在另一實施例中,第一濕式蝕刻清洗站係為旋轉式的站點。
在一實施例中,一種半導體裝置製造機台包括包含數個濕式蝕刻腔的濕式蝕刻區、位於配合此些蝕刻腔體之至少一者運作之位置的第一傳送站以及包括數個電漿處理腔的電漿處理區。此些電漿處理腔之至少一者係位於配合第一傳送站運作的位置。濕式蝕刻區、第一傳送站以及電漿處理區係為一單一機器的一部分。在一實施例中,半導體裝置製造機台更包括連接至此些濕式蝕刻腔之每一者的進料槽。在一實施例中,半導體裝置製造機台更包括連接至進料槽的第一輸入端、連接至進料槽的第二輸入端以及連接至進料槽的第三輸入端。在另一實施例中,第一輸入端係連接至混合單元。在另一實施例中,第二輸入端係為添加輸入端。在另一實施例中,第三輸入端係為自此些濕式蝕刻腔回收之回收輸入端。在另一實施例中,此些濕式蝕刻腔之至少一者包括可旋轉吸座。
在另一實施例中,一種半導體裝置之製造方法包括形成開口穿過介電層以露出半導體晶圓之導電元件、將半導 體晶圓放入第一製程機台中、於第一製程機台中濕式清洗半導體晶圓、於第一製程機台中電漿清洗半導體晶圓以及在電漿清洗半導體晶圓之後自第一製程機台取出半導體晶圓。在另一實施例中,至少部分地使用旋轉製程進行濕式清洗之步驟。在另一實施例中,旋轉製程包括將濕蝕刻劑接觸至半導體晶圓上。在另一實施例中,將濕蝕刻劑接觸至半導體晶圓上的步驟包括自進料槽取出濕蝕刻劑。在另一實施例中,電漿清洗步驟釋放半導體晶圓上之電荷。在另一實施例中,電漿清洗步驟自氮或氫產生電漿。
在另一實施例中,一種半導體裝置之製造方法包括通過半導體晶圓上之介電層露出導電層、將液體蝕刻劑接觸至介電層與導電層以移除殘留物。將液體蝕刻劑接觸至介電層與導電層的步驟係進行於第一製程機台之第一濕式蝕刻腔中。半導體裝置之製造方法亦包括在移除殘留物之後進行電漿清洗。電漿清洗之步驟係進行於第一製程機台之電漿處理腔中。在另一實施例中,使用氧進行電漿清洗。在另一實施例中,電漿清洗之步驟自介電層釋放電荷。在另一實施例中,至少部分地使用氮或氫之電漿進行電漿清洗。在另一實施例中,液體蝕刻劑包括溶劑中之氧化劑。在另一實施例中,液體蝕刻劑更包括抑制劑。在另一實施例中,殘留物係為含氟聚合物。
在另一實施例中,一種清洗半導體晶圓之方法包括將半導體晶圓放置於可旋轉吸座上、在半導體晶圓旋轉時分配濕蝕刻劑於半導體晶圓上、自可旋轉吸座移出半導體晶圓並在不暴露於外部氣壓的情況下將半導體晶圓放置於電漿處理 腔中之固持平台上以及電漿處理半導體晶圓。在另一實施例中,電漿處理半導體晶圓的步驟更包括自半導體晶圓釋放電荷。在另一實施例中,電漿處理包括自氮產生電漿。在另一實施例中,電漿處理包括自氫產生電漿。在另一實施例中,分配濕蝕刻劑的步驟包括分配氧化劑。在一實施例中,上述方法更包括在電漿處理半導體晶圓之後沉積第一阻障層。在一實施例中,上述方法更包括將導電材料鍍覆至第一阻障層上。
在另一實施例中,一種清洗半導體裝置的方法包括將半導體裝置放入第一製程機台中、將半導體裝置移動至第一製程機台之濕式蝕刻區、將半導體裝置移動至第一製程機台之電漿處理區以及自第一製程機台移出半導體裝置。在一實施例中,清洗半導體裝置的方法更包括在半導體裝置位於濕式蝕刻區中時自半導體裝置移除殘留物。在一實施例中,清洗半導體裝置的方法更包括在半導體裝置位於第一製程機台之電漿處理區中時自半導體裝置釋放電荷。在另一實施例中,自半導體裝置釋放電荷的步驟更包括自氮或氫產生電漿。在另一實施例中,將半導體裝置移動至濕式蝕刻區的步驟係於將半導體裝置移動至第一製程機台之電漿處理區的步驟之前進行。在另一實施例中,將半導體裝置移動至濕式蝕刻區的步驟係於將半導體裝置移動至第一製程機台之電漿處理區的步驟之後進行。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/ 或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。
200‧‧‧製程機器
202‧‧‧濕式蝕刻區
204‧‧‧電漿處理區
206‧‧‧傳送站
208‧‧‧濕式蝕刻站
210‧‧‧進料槽
212‧‧‧第一輸入端
214‧‧‧第二輸入端
216‧‧‧第三輸入端
217‧‧‧流量計
218‧‧‧第一輸出端
225‧‧‧真空泵浦
254‧‧‧電漿處理腔
262‧‧‧第一泵浦
264‧‧‧第一加熱器
266‧‧‧第一過濾器
272‧‧‧處理加熱器
281‧‧‧外殼

Claims (11)

  1. 一種半導體裝置製造機台,包括:一第一濕式蝕刻清洗站;一第一電漿處理站;一傳送站,運作上位於該第一濕式蝕刻清洗站以及該第一電漿處理站之間;以及一外殼(exterior housing),包圍該第一濕式蝕刻清洗站、該第一電漿處理站以及該傳送站之整體。
  2. 如申請專利範圍第1項所述之半導體裝置製造機台,更包括:一第二濕式蝕刻清洗站;以及一進料槽,運作上連接至該第一濕式蝕刻清洗站以及該第二濕式蝕刻清洗站兩者。
  3. 如申請專利範圍第1項所述之半導體裝置製造機台,更包括:一第二電漿處理站;以及一第一處理氣體供應器,運作上連接至該第一電漿處理站以及該第二電漿處理站兩者。
  4. 如申請專利範圍第3項所述之半導體裝置製造機台,其中該第一處理氣體供應器包含一電荷釋放處理氣體。
  5. 如申請專利範圍第1項所述之半導體裝置製造機台,其中該第一濕式蝕刻清洗站係為一旋轉(spin-on)式的站點。
  6. 一種半導體裝置製造機台,包括:一濕式蝕刻區,包括數個濕式蝕刻腔; 一第一傳送站,位於配合該些濕式蝕刻腔之至少一者運作的位置;以及一電漿處理區,包括數個電漿處理腔,其中該些電漿處理腔之至少一者係位於配合該第一傳送站運作的位置,其中該濕式蝕刻區、該第一傳送站以及該電漿處理區係為一單一機器的一部分。
  7. 如申請專利範圍第6項所述之半導體裝置製造機台,更包括:一進料槽,連接至該些濕式蝕刻腔的每一者。
  8. 如申請專利範圍第7項所述之半導體裝置製造機台,更包括:一第一輸入端,連接至該進料槽,其中該第一輸入端係連接至一混合單元;一第二輸入端,連接至該進料槽,其中該第二輸入端係為一添加輸入端(spike input);以及一第三輸入端,連接至該進料槽,其中該第三輸入端係為自該些濕式蝕刻腔回收之回收輸入端(recycle input)。
  9. 一種製造半導體裝置的方法,包括:形成一開口穿過一介電層,以露出一半導體晶圓之一導電元件;將該半導體晶圓放入一第一製程機台中;於該第一製程機台中濕式清洗該半導體晶圓;於該第一製程機台中電漿清洗該半導體晶圓;以及在電漿清洗該半導體晶圓之後自該第一製程機台取出該半 導體晶圓。
  10. 如申請專利範圍第9項所述之製造半導體裝置的方法,其中至少部分地使用一旋轉製程(spin on process)進行該濕式清洗之步驟。
  11. 如申請專利範圍第9項所述之製造半導體裝置的方法,其中該電漿清洗步驟釋放該半導體晶圓上之電荷。
TW106135469A 2017-05-31 2017-10-17 半導體裝置製造機台以及製造半導體裝置的方法 TWI685044B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762512909P 2017-05-31 2017-05-31
US62/512,909 2017-05-31
US15/666,063 US10770314B2 (en) 2017-05-31 2017-08-01 Semiconductor device, tool, and method of manufacturing
US15/666,063 2017-08-01

Publications (2)

Publication Number Publication Date
TW201903932A TW201903932A (zh) 2019-01-16
TWI685044B true TWI685044B (zh) 2020-02-11

Family

ID=64278933

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106135469A TWI685044B (zh) 2017-05-31 2017-10-17 半導體裝置製造機台以及製造半導體裝置的方法

Country Status (5)

Country Link
US (2) US10770314B2 (zh)
KR (1) KR102112116B1 (zh)
CN (1) CN108987300B (zh)
DE (1) DE102017118084A1 (zh)
TW (1) TWI685044B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10804094B2 (en) 2016-05-06 2020-10-13 Applied Materials, Inc. Methods of depositing SiCON with C, O and N compositional control
DE102018104644A1 (de) 2017-08-30 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterbauteil und sein herstellungsverfahren
US10685870B2 (en) * 2017-08-30 2020-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US20220102343A1 (en) * 2020-09-25 2022-03-31 Intel Corporation Multi-layer etch stop layers for advanced integrated circuit structure fabrication
US11447865B2 (en) * 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
CN113828583A (zh) * 2021-09-17 2021-12-24 西安理工大学 一种冷水系统中均压电极的表面除垢装置及除垢方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6004881A (en) * 1997-04-24 1999-12-21 The United States Of America As Represented By The Secretary Of The Air Force Digital wet etching of semiconductor materials
US20150348902A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. Protective via cap for improved interconnect performance

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5944940A (en) 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
KR20020088419A (ko) 2000-04-05 2002-11-27 동경 엘렉트론 주식회사 처리 장치
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
KR20040063920A (ko) 2001-11-07 2004-07-14 용배 김 건식-습식 처리를 이용한 반도체 웨이퍼 상에서 재료를제거하기 위한 집적 전식-습식 처리장치 및 방법
US20030084918A1 (en) * 2001-11-07 2003-05-08 Kim Yong Bae Integrated dry-wet processing apparatus and method for removing material on semiconductor wafers using dry-wet processes
US20030230385A1 (en) * 2002-06-13 2003-12-18 Applied Materials, Inc. Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system
US8241701B2 (en) * 2005-08-31 2012-08-14 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition
US9117860B2 (en) 2006-08-30 2015-08-25 Lam Research Corporation Controlled ambient system for interface engineering
SG174750A1 (en) 2006-08-30 2011-10-28 Lam Res Corp Controlled ambient system for interface engineering
CN101553912B (zh) 2006-12-05 2011-05-18 芝浦机械电子株式会社 机器人装置和设有其的处理装置、处理系统和处理方法
US8282842B2 (en) 2007-11-29 2012-10-09 United Microelectronics Corp. Cleaning method following opening etch
US8703605B2 (en) * 2007-12-18 2014-04-22 Byung Chun Yang High yield and high throughput method for the manufacture of integrated circuit devices of improved integrity, performance and reliability
US7834457B2 (en) * 2008-02-28 2010-11-16 International Business Machines Corporation Bilayer metal capping layer for interconnect applications
US20100075488A1 (en) 2008-09-19 2010-03-25 Applied Materials, Inc. Cvd reactor with multiple processing levels and dual-axis motorized lift mechanism
JP5361847B2 (ja) * 2010-02-26 2013-12-04 東京エレクトロン株式会社 基板処理方法、この基板処理方法を実行させるためのプログラムを記録した記録媒体及び基板処理装置
US9512520B2 (en) 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
JPWO2013065771A1 (ja) 2011-11-01 2015-04-02 株式会社日立国際電気 半導体装置の製造方法、半導体装置の製造装置及び記録媒体
WO2014025918A1 (en) 2012-08-08 2014-02-13 Applied Materials, Inc Linked vacuum processing tools and methods of using the same
US9064807B2 (en) 2013-02-27 2015-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated platform for improved wafer manufacturing quality
JP5871844B2 (ja) 2013-03-06 2016-03-01 東京エレクトロン株式会社 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
US9916995B2 (en) 2014-02-24 2018-03-13 Lam Research Corporation Compact substrate processing tool with multi-station processing and pre-processing and/or post-processing stations
JP6499496B2 (ja) * 2015-04-15 2019-04-10 株式会社Screenホールディングス 廃液処理方法および廃液処理装置
US9687885B2 (en) 2015-07-17 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-cycle wafer cleaning method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6004881A (en) * 1997-04-24 1999-12-21 The United States Of America As Represented By The Secretary Of The Air Force Digital wet etching of semiconductor materials
US20150348902A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. Protective via cap for improved interconnect performance

Also Published As

Publication number Publication date
KR20180131332A (ko) 2018-12-10
KR102112116B1 (ko) 2020-05-19
US20180350634A1 (en) 2018-12-06
US10770314B2 (en) 2020-09-08
TW201903932A (zh) 2019-01-16
CN108987300A (zh) 2018-12-11
US20190096703A1 (en) 2019-03-28
CN108987300B (zh) 2021-06-08
DE102017118084A1 (de) 2018-12-06

Similar Documents

Publication Publication Date Title
TWI685044B (zh) 半導體裝置製造機台以及製造半導體裝置的方法
US10049983B2 (en) Semiconductor device and method
US20030162412A1 (en) Low-dielectric silicon nitride film and method of forming the same, semiconductor device and fabrication process thereof
TW201623669A (zh) 用於選擇性的超低介電常數封孔之可流動介電質
US11488857B2 (en) Semiconductor device and method of manufacture using a contact etch stop layer (CESL) breakthrough process
WO2006025969A2 (en) Organic solvents having ozone dissolved therein for semiconductor processing utilizing sacrificial materials
US20090014414A1 (en) Substrate processing method, substrate processing system, and computer-readable storage medium
US20050245082A1 (en) Process for removing organic materials during formation of a metal interconnect
US20210249318A1 (en) Semiconductor Device and Method
US7176142B2 (en) Method of manufacturing trench structure for device
US20110177695A1 (en) Substrate processing method and storage medium
US10755972B2 (en) Semiconductor device and method
TWI706459B (zh) 半導體裝置的製造方法
JP2018107427A (ja) 半導体装置の製造方法、真空処理装置及び基板処理装置
US11335589B2 (en) Semiconductor device and method of manufacture
US20220367254A1 (en) Semiconductor Device and Method of Manufacture
US11776818B2 (en) Semiconductor devices and methods of manufacturing