CN108984806A - 一种时钟树综合方法以及计算机可读存储介质 - Google Patents

一种时钟树综合方法以及计算机可读存储介质 Download PDF

Info

Publication number
CN108984806A
CN108984806A CN201710405083.6A CN201710405083A CN108984806A CN 108984806 A CN108984806 A CN 108984806A CN 201710405083 A CN201710405083 A CN 201710405083A CN 108984806 A CN108984806 A CN 108984806A
Authority
CN
China
Prior art keywords
submodule
clock
clock delay
full chip
timing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710405083.6A
Other languages
English (en)
Other versions
CN108984806B (zh
Inventor
梁燕杰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sanechips Technology Co Ltd
Shenzhen ZTE Microelectronics Technology Co Ltd
Original Assignee
Shenzhen ZTE Microelectronics Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shenzhen ZTE Microelectronics Technology Co Ltd filed Critical Shenzhen ZTE Microelectronics Technology Co Ltd
Priority to CN201710405083.6A priority Critical patent/CN108984806B/zh
Publication of CN108984806A publication Critical patent/CN108984806A/zh
Application granted granted Critical
Publication of CN108984806B publication Critical patent/CN108984806B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/3312Timing analysis

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

本发明公开了一种时钟树综合方法以及计算机可读存储介质,包括:全芯片中的子模块做完时钟树平衡后,获取和顶层模块有时序检查的寄存器在子模块内部的时钟延迟,计算抓取出的时钟延迟的若干个统计值;选取时钟延迟的一个统计值反标给全芯片,指导全芯片进行全局时钟树平衡。本发明通过获取子模块和顶层模块接口处寄存器在子模块内部的时钟延迟数值,反标给全芯片进行全局时钟树平衡,忽略了子模块内部与顶层模块没有逻辑交互的大量无关寄存器的时钟延迟,提高了反标数值的准确性,使得子模块和顶层模块接口处不会出现大量的时序违例,加快了全芯片时序收敛的速度,缩短了设计周期。

Description

一种时钟树综合方法以及计算机可读存储介质
技术领域
本发明涉及芯片设计技术领域,尤其涉及一种时钟树综合方法以及计算机可读存储介质。
背景技术
在数字集成电路中,时钟信号作为整个芯片的时序参考,对芯片的性能和工作稳定性起着至关重要的作用。时序收敛是数字集成电路设计中最重要的任务之一。随着集成电路设计进入了深亚微米时代,芯片规模不断增加,设计日趋复杂,时序收敛的难度也随之越来越大。
层次化设计是一种最常用的集成电路的芯片的设计方法,在该设计方法中,要设计的芯片被划分成了很多子模块,每个子模块单独设计,然后被顶层模块调用,这种设计方法将庞大而复杂的设计在物理设计阶段分割成数个分割块(Partition),对各个子模块单独进行时钟树平衡,全芯片只需要关注子模块接口处的寄存器的时钟延迟即可,这样能使设计的周期显著提高,并使时序问题局部化。
但是,现有数字设计实现(Encounter Digital Implementation,EDI)工具报出子模块中的所有寄存器的时钟延迟,并给出时钟延迟的最大值和最小值,统计出来的数据与寄存器真实的时钟延迟有一定的偏差,由此造成反标给顶层的时钟延迟数值失真,导致全芯片全局时钟树平衡的时钟延迟在全芯片寄存器和子模块寄存器之间长短不一,出现大量时序违例。
发明内容
为了解决上述技术问题,本发明提供了一种时钟树综合方法以及计算机可读存储介质,能够加快全芯片时序收敛的速度并缩短设计周期。
为了达到本发明目的,本发明实施例的技术方案是这样实现的:
本发明实施例提供了一种时钟树综合方法,包括:
全芯片中的子模块做完时钟树平衡后,获取和顶层模块有时序检查的寄存器在子模块内部的时钟延迟,计算获取的时钟延迟的若干个统计值;
子模块选取时钟延迟的一个统计值反标给全芯片,指导全芯片进行全局时钟树平衡。
进一步地,所述获取和顶层模块有时序检查的寄存器在子模块内部的时钟延迟包括:
所述子模块生成所有与端口相关的时序路径,并从所述时序路径中选出所述和顶层模块有时序检查的寄存器在子模块内部的时钟延迟。
进一步地,所述时钟延迟的若干个统计值包括最大值、最小值、平均值和分布区间。
进一步地,所述方法之前还包括:
将所述全芯片分为若干个所述子模块和一个所述顶层模块;
所述各个子模块单独进行时钟树平衡。
本发明实施例还提供了一种计算机可读存储介质,所述计算机可读存储介质存储有一个或者多个程序,所述一个或者多个程序可被一个或者多个处理器执行,以实现以下步骤:
全芯片中的子模块做完时钟树平衡后,获取和顶层模块有时序检查的寄存器在子模块内部的时钟延迟,计算获取的时钟延迟的若干个统计值;
子模块选取时钟延迟的一个统计值反标给全芯片,指导全芯片进行全局时钟树平衡。
进一步地,所述获取和顶层模块有时序检查的寄存器在子模块内部的时钟延迟的步骤之前,所述一个或者多个程序还可被所述一个或者多个处理器执行,以实现以下步骤:
所述子模块生成所有与端口相关的时序路径,并从所述时序路径中选出所述和顶层模块有时序检查的寄存器在子模块内部的时钟延迟。
进一步地,所述时钟延迟的若干个统计值包括最大值、最小值、平均值和分布区间。
进一步地,所述全芯片中的子模块做完时钟树平衡后的步骤之前,所述一个或者多个程序还可被所述一个或者多个处理器执行,以实现以下步骤:
将所述全芯片分为若干个所述子模块和一个所述顶层模块;
所述各个子模块单独进行时钟树平衡。
本发明的技术方案,具有如下有益效果:
本发明提供的时钟树综合方法以及计算机可读存储介质,通过获取子模块和顶层模块接口处寄存器在子模块内部的时钟延迟(Clock Latency)数值,反标给全芯片进行全局时钟树平衡(Clock Tree Balance),忽略了子模块内部与顶层模块没有逻辑交互的大量无关寄存器的时钟延迟,提高了反标数值的准确性,使得子模块和顶层模块接口处不会出现大量的时序违例,加快了全芯片时序收敛的速度,缩短了设计周期。
附图说明
此处所说明的附图用来提供对本发明的进一步理解,构成本申请的一部分,本发明的示意性实施例及其说明用于解释本发明,并不构成对本发明的不当限定。在附图中:
图1为现有的时钟树平衡原理示意图;
图2为本发明实施例的一种时钟树综合方法的流程示意图;
图3为本发明实施例中子模块端口处的时序检查示意图;
图4为本发明实施例中全芯片和子模块接口处的时钟延迟示意图。
具体实施方式
为使本发明的目的、技术方案和优点更加清楚明白,下文中将结合附图对本发明的实施例进行详细说明。需要说明的是,在不冲突的情况下,本申请中的实施例及实施例中的特征可以相互任意组合。
一个芯片设计中通常存在大量的寄存器,寄存器是时钟沿触发的时序单元,离不开时钟信号。时钟信号要驱动与之相关的所有寄存器,时钟信号到达寄存器的时间我们称之为时钟延迟(Clock Latency),如图1所示,一个理想的时钟树平衡是到达每个寄存器的时钟延迟一样大。
如图2所示,根据本发明的一种时钟树综合方法,包括如下步骤:
步骤201:全芯片中的子模块做完时钟树平衡后,获取和顶层模块有时序检查的寄存器在子模块内部的时钟延迟,计算获取的时钟延迟的若干个统计值;
进一步地,在所述步骤201之前,所述方法还包括:
将全芯片分为若干个子模块和一个顶层模块,各个子模块单独进行时钟树平衡。
值得说明的是,对做完时钟树综合的子模块来说,时钟信号到各个寄存器的时钟延迟已经确定,子模块内部寄存器到寄存器之间的时序是否能收敛也基本确定,唯一不能确定的时序问题就是子模块和顶层模块接口的地方。因此,本发明只获取和顶层模块有时序检查的寄存器在子模块内部的时钟延迟,而忽略子模块内部与顶层模块没有逻辑交互的大量无关寄存器的时钟延迟,从而获得子模块和顶层模块接口处寄存器在子模块内部的真实时钟延时的数值,由于反标数值的准确,全芯片做完时钟树平衡后,子模块和顶层模块接口处不会出现大量时序违例,能够加快全芯片时序收敛的速度并缩短设计周期。
进一步地,所述获取和顶层模块有时序检查的寄存器在子模块内部的时钟延迟包括:子模块生成所有与端口相关的时序路径,并从所述时序路径中选出和顶层模块有时序检查的寄存器在子模块内部的时钟延迟。
在本发明一实施例中,如图3所示,第一寄存器FF1和第二寄存器FF2位于子模块内部,并分别使用相互独立的时钟信号CLKA’与CLKB’,DIN端口为数据输入端口,DOUT端口为数据输出端口,子模块内的BUF为子模块内部做完时钟树平衡后插入的时钟缓冲器。子模块生成所有与端口相关的时序路径,所述时序路径包括DIN端口到FF1数据输入端(FF1/D)、CLKA’到FF1时钟输入端(FF1/CK)和CLKB’到FF2时钟输入端(FF2/CK),所选出的和顶层模块有时序检查的寄存器为FF1和FF2,其在子模块内部的时钟延迟分别为CLKA’到FF1/CK的时钟延迟和CLKB’到FF2/CK的时钟延迟。
进一步地,所述时钟延迟的若干个统计值包括最大值、最小值、平均值和分布区间。
步骤202:子模块选取时钟延迟的一个统计值反标给全芯片,指导全芯片进行全局时钟树平衡。
需要说明的是,选取时钟延迟的哪一个统计值(最大值、最小值、平均值或分布区间)反标给全芯片,需要使用各个统计值反复进行尝试,并根据尝试后得到的时序结果来决定最终使用哪一个统计值,选择时序结果最接近时钟树平衡的统计值反标给全芯片。或者根据经验,通常选择最大值反标给全芯片。本发明强调的是只获取和顶层模块有时序检查的寄存器在子模块内部的时钟延迟,至于步骤202中全芯片如何根据时钟延迟的统计值进行全局时钟树平衡,可以通过现有技术中的时序预算方法实现,本发明对此并不做限制。
如图4所示,第三寄存器FF3和第四寄存器FF4位于子模块外部,第三寄存器FF3和第一寄存器FF1共用时钟信号CLKA,第四寄存器FF4和第二寄存器FF2共用时钟信号CLKB。从步骤203中选出的CLKA’到FF1/CK的时钟延迟以及CLKB’到FF2/CK的时钟延迟反标给全芯片后,通过EDA(Electronics Design Automation)工具自动平衡CLKA到FF1/CK与CLKA到FF3时钟输入端(FF3/CK)的时钟延迟,同理可得FF2和FF4之间的时钟树平衡。
本发明还提供了一种计算机可读存储介质,所述计算机可读存储介质存储有一个或者多个程序,所述一个或者多个程序可被一个或者多个处理器执行,以实现以下步骤:
全芯片中的子模块做完时钟树平衡后,获取和顶层模块有时序检查的寄存器在子模块内部的时钟延迟,计算获取的时钟延迟的若干个统计值;
子模块选取时钟延迟的一个统计值反标给全芯片,指导全芯片进行全局时钟树平衡。
进一步地,所述全芯片中的子模块做完时钟树平衡后的步骤之前,所述一个或者多个程序还可被所述一个或者多个处理器执行,以实现以下步骤:
将全芯片分为若干个子模块和一个顶层模块;
各个子模块单独进行时钟树平衡。
值得说明的是,对做完时钟树综合的子模块来说,时钟信号到各个寄存器的时钟延迟已经确定,子模块内部寄存器到寄存器之间的时序是否能收敛也基本确定,唯一不能确定的时序问题就是子模块和顶层模块接口的地方。因此,本发明只获取和顶层模块有时序检查的寄存器在子模块内部的时钟延迟,而忽略子模块内部与顶层模块没有逻辑交互的大量无关寄存器的时钟延迟,从而获得子模块和顶层模块接口处寄存器在子模块内部的真实时钟延时的数值,由于反标数值的准确,全芯片做完时钟树平衡后,子模块和顶层模块接口处不会出现大量时序违例,能够加快全芯片时序收敛的速度并缩短设计周期。
进一步地,所述获取和顶层模块有时序检查的寄存器在子模块内部的时钟延迟的步骤之前,所述一个或者多个程序还可被所述一个或者多个处理器执行,以实现以下步骤:
子模块生成所有与端口相关的时序路径,并从所述时序路径中选出和顶层模块有时序检查的寄存器在子模块内部的时钟延迟。
在本发明一实施例中,如图3所示,子模块生成所有与端口相关的时序路径,所述时序路径包括DIN端口到FF1数据输入端(FF1/D)、CLKA’到FF1时钟输入端(FF1/CK)和CLKB’到FF2时钟输入端(FF2/CK),所选出的和顶层模块有时序检查的寄存器为FF1和FF2,其在子模块内部的时钟延迟分别为CLKA’到FF1/CK的时钟延迟和CLKB’到FF2/CK的时钟延迟。
进一步地,所述时钟延迟的若干个统计值包括最大值、最小值、平均值和分布区间。
需要说明的是,选取时钟延迟的哪一个统计值(最大值、最小值、平均值或分布区间)反标给全芯片,需要使用各个统计值反复进行尝试,并根据尝试后得到的时序结果来决定最终使用哪一个统计值,选择时序结果最接近时钟树平衡的统计值反标给全芯片。或者根据经验,通常选择最大值反标给全芯片。本发明强调的是只获取和顶层模块有时序检查的寄存器在子模块内部的时钟延迟,至于全芯片如何根据时钟延迟的统计值进行全局时钟树平衡,可以通过现有技术中的时序预算方法实现,本发明对此并不做限制。
如图4所示,将选出的CLKA’到FF1/CK的时钟延迟以及CLKB’到FF2/CK的时钟延迟反标给全芯片后,通过电子设计自动化(Electronics Design Automation,EDA)工具自动平衡CLKA到FF1/CK与CLKA到FF3/CK的时钟延迟,同理可得FF2和FF4之间的时钟树平衡。
本领域普通技术人员可以理解上述方法中的全部或部分步骤可通过程序来指令相关硬件完成,所述程序可以存储于计算机可读存储介质中,如只读存储器、磁盘或光盘等。可选地,上述实施例的全部或部分步骤也可以使用一个或多个集成电路来实现,相应地,上述实施例中的各模块/单元可以采用硬件的形式实现,也可以采用软件功能模块的形式实现。本发明不限制于任何特定形式的硬件和软件的结合。
以上所述仅为本发明的优选实施例而已,并不用于限制本发明,对于本领域的技术人员来说,本发明可以有各种更改和变化。凡在本发明的精神和原则之内,所作的任何修改、等同替换、改进等,均应包含在本发明的保护范围之内。

Claims (8)

1.一种时钟树综合方法,其特征在于,包括:
全芯片中的子模块做完时钟树平衡后,获取和顶层模块有时序检查的寄存器在子模块内部的时钟延迟,计算获取的时钟延迟的若干个统计值;
子模块选取时钟延迟的一个统计值反标给全芯片,指导全芯片进行全局时钟树平衡。
2.根据权利要求1所述的时钟树综合方法,其特征在于,所述获取和顶层模块有时序检查的寄存器在子模块内部的时钟延迟包括:
所述子模块生成所有与端口相关的时序路径,并从所述时序路径中选出所述和顶层模块有时序检查的寄存器在子模块内部的时钟延迟。
3.根据权利要求1所述的时钟树综合方法,其特征在于,所述时钟延迟的若干个统计值包括最大值、最小值、平均值和分布区间。
4.根据权利要求1所述的时钟树综合方法,其特征在于,所述方法之前还包括:
将所述全芯片分为若干个所述子模块和一个所述顶层模块;
所述各个子模块单独进行时钟树平衡。
5.一种计算机可读存储介质,其特征在于,所述计算机可读存储介质存储有一个或者多个程序,所述一个或者多个程序可被一个或者多个处理器执行,以实现以下步骤:
全芯片中的子模块做完时钟树平衡后,获取和顶层模块有时序检查的寄存器在子模块内部的时钟延迟,计算获取的时钟延迟的若干个统计值;
子模块选取时钟延迟的一个统计值反标给全芯片,指导全芯片进行全局时钟树平衡。
6.根据权利要求5所述的计算机可读存储介质,其特征在于,所述获取和顶层模块有时序检查的寄存器在子模块内部的时钟延迟的步骤之前,所述一个或者多个程序还可被所述一个或者多个处理器执行,以实现以下步骤:
所述子模块生成所有与端口相关的时序路径,并从所述时序路径中选出所述和顶层模块有时序检查的寄存器在子模块内部的时钟延迟。
7.根据权利要求5所述的计算机可读存储介质,其特征在于,所述时钟延迟的若干个统计值包括最大值、最小值、平均值和分布区间。
8.根据权利要求5所述的计算机可读存储介质,其特征在于,所述全芯片中的子模块做完时钟树平衡后的步骤之前,所述一个或者多个程序还可被所述一个或者多个处理器执行,以实现以下步骤:
将所述全芯片分为若干个所述子模块和一个所述顶层模块;
所述各个子模块单独进行时钟树平衡。
CN201710405083.6A 2017-05-31 2017-05-31 一种时钟树综合方法以及计算机可读存储介质 Active CN108984806B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710405083.6A CN108984806B (zh) 2017-05-31 2017-05-31 一种时钟树综合方法以及计算机可读存储介质

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201710405083.6A CN108984806B (zh) 2017-05-31 2017-05-31 一种时钟树综合方法以及计算机可读存储介质

Publications (2)

Publication Number Publication Date
CN108984806A true CN108984806A (zh) 2018-12-11
CN108984806B CN108984806B (zh) 2022-02-18

Family

ID=64501590

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710405083.6A Active CN108984806B (zh) 2017-05-31 2017-05-31 一种时钟树综合方法以及计算机可读存储介质

Country Status (1)

Country Link
CN (1) CN108984806B (zh)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110555269A (zh) * 2019-09-02 2019-12-10 天津飞腾信息技术有限公司 片上系统的顶层时钟树结构
CN110825210A (zh) * 2019-11-12 2020-02-21 天津飞腾信息技术有限公司 片上系统的时钟树结构的设计方法、装置、设备及介质
CN111046624A (zh) * 2019-12-17 2020-04-21 天津飞腾信息技术有限公司 芯片模块接口时钟结构的构建方法、装置、设备及介质
CN111539176A (zh) * 2019-03-29 2020-08-14 成都海光集成电路设计有限公司 集成电路设计与制造的多实例时间预算
CN111753480A (zh) * 2020-07-01 2020-10-09 无锡中微亿芯有限公司 利用有源硅连接层实现时钟树的多裸片fpga
CN111967212A (zh) * 2020-08-06 2020-11-20 广芯微电子(广州)股份有限公司 一种层次化设计芯片时序收敛的方法、系统及存储介质
CN112331243A (zh) * 2020-11-26 2021-02-05 安徽省东科半导体有限公司 同时钟域下寄存器的逻辑解耦方法
CN113505562A (zh) * 2021-07-05 2021-10-15 广东工业大学 时钟树综合最优策略预测方法、系统及应用
CN114818595A (zh) * 2022-06-24 2022-07-29 飞腾信息技术有限公司 芯片模块接口时钟构建方法、装置、存储介质及电子设备
CN115470747A (zh) * 2022-09-29 2022-12-13 西安工程大学 一种实现时序快速收敛的时钟树综合方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1281254A (zh) * 2000-08-29 2001-01-24 中国科学院微电子中心 超大规模集成电路的制造方法
CN1521834A (zh) * 2003-01-31 2004-08-18 松下电器产业株式会社 半导体集成电路器件的时钟延迟调节方法
US20070168797A1 (en) * 2005-07-28 2007-07-19 International Business Machines Corporation Method, apparatus and computer program product for designing logic scan chains for matching gated portions of a clock tree
CN101739500A (zh) * 2010-02-10 2010-06-16 北京龙芯中科技术服务中心有限公司 一种多时钟数字系统及其时钟确定装置和方法
CN202904427U (zh) * 2012-09-27 2013-04-24 上海宇芯科技有限公司 多功能模式的时钟树生成电路
CN105095604A (zh) * 2015-09-10 2015-11-25 中国人民解放军国防科学技术大学 一种考虑距离与时钟的时序预算方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1281254A (zh) * 2000-08-29 2001-01-24 中国科学院微电子中心 超大规模集成电路的制造方法
CN1521834A (zh) * 2003-01-31 2004-08-18 松下电器产业株式会社 半导体集成电路器件的时钟延迟调节方法
US20070168797A1 (en) * 2005-07-28 2007-07-19 International Business Machines Corporation Method, apparatus and computer program product for designing logic scan chains for matching gated portions of a clock tree
CN101739500A (zh) * 2010-02-10 2010-06-16 北京龙芯中科技术服务中心有限公司 一种多时钟数字系统及其时钟确定装置和方法
CN202904427U (zh) * 2012-09-27 2013-04-24 上海宇芯科技有限公司 多功能模式的时钟树生成电路
CN105095604A (zh) * 2015-09-10 2015-11-25 中国人民解放军国防科学技术大学 一种考虑距离与时钟的时序预算方法

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111539176B (zh) * 2019-03-29 2023-04-07 成都海光集成电路设计有限公司 集成电路设计与制造的多实例时间预算
CN111539176A (zh) * 2019-03-29 2020-08-14 成都海光集成电路设计有限公司 集成电路设计与制造的多实例时间预算
CN110555269A (zh) * 2019-09-02 2019-12-10 天津飞腾信息技术有限公司 片上系统的顶层时钟树结构
CN110555269B (zh) * 2019-09-02 2023-04-18 飞腾信息技术有限公司 片上系统的顶层时钟树结构
CN110825210A (zh) * 2019-11-12 2020-02-21 天津飞腾信息技术有限公司 片上系统的时钟树结构的设计方法、装置、设备及介质
CN111046624A (zh) * 2019-12-17 2020-04-21 天津飞腾信息技术有限公司 芯片模块接口时钟结构的构建方法、装置、设备及介质
CN111046624B (zh) * 2019-12-17 2024-04-30 飞腾信息技术有限公司 芯片模块接口时钟结构的构建方法、装置、设备及介质
CN111753480A (zh) * 2020-07-01 2020-10-09 无锡中微亿芯有限公司 利用有源硅连接层实现时钟树的多裸片fpga
CN111753480B (zh) * 2020-07-01 2022-05-31 无锡中微亿芯有限公司 利用有源硅连接层实现时钟树的多裸片fpga
CN111967212A (zh) * 2020-08-06 2020-11-20 广芯微电子(广州)股份有限公司 一种层次化设计芯片时序收敛的方法、系统及存储介质
CN111967212B (zh) * 2020-08-06 2021-05-18 广芯微电子(广州)股份有限公司 一种层次化设计芯片时序收敛的方法、系统及存储介质
CN112331243B (zh) * 2020-11-26 2021-07-23 安徽省东科半导体有限公司 同时钟域下寄存器的逻辑解耦方法
CN112331243A (zh) * 2020-11-26 2021-02-05 安徽省东科半导体有限公司 同时钟域下寄存器的逻辑解耦方法
CN113505562A (zh) * 2021-07-05 2021-10-15 广东工业大学 时钟树综合最优策略预测方法、系统及应用
CN114818595B (zh) * 2022-06-24 2022-09-13 飞腾信息技术有限公司 芯片模块接口时钟构建方法、装置、存储介质及电子设备
CN114818595A (zh) * 2022-06-24 2022-07-29 飞腾信息技术有限公司 芯片模块接口时钟构建方法、装置、存储介质及电子设备
CN115470747A (zh) * 2022-09-29 2022-12-13 西安工程大学 一种实现时序快速收敛的时钟树综合方法
CN115470747B (zh) * 2022-09-29 2023-12-15 西安工程大学 一种实现时序快速收敛的时钟树综合方法

Also Published As

Publication number Publication date
CN108984806B (zh) 2022-02-18

Similar Documents

Publication Publication Date Title
CN108984806A (zh) 一种时钟树综合方法以及计算机可读存储介质
US9590635B1 (en) Partial reconfiguration of programmable devices
CN106104698B (zh) 用于产生具有可编程延迟的动态随机存取存储器(dram)命令的存储器物理层接口逻辑
KR101467932B1 (ko) 상호접속부에서 멀티-쓰레드 오더링된 큐들에 대한 공유 저장기
US7958474B2 (en) Highly threaded static timer
US8261220B2 (en) Path preserving design partitioning with redundancy
EP2819039B1 (en) Integrated circuit device configuration methods adapted to account for retiming
US8881079B1 (en) Dataflow parameter estimation for a design
US9223916B2 (en) Timing analysis of asynchronous clock domain crossings
US8572527B1 (en) Generating properties for circuit designs
US9041431B1 (en) Partial reconfiguration and in-system debugging
US11144694B2 (en) Hybrid out of context hierarchical design flow for hierarchical timing convergence of integrated circuits for out of context signoff analysis
WO1999008213A1 (en) System and method for generating and using stage-based constraints for timing-driven design
CN109901049A (zh) 检测集成电路用时序路径中异步路径的方法、装置
US10243758B2 (en) Apparatus and method for filtering transactions
US7398495B1 (en) Method and apparatus for characterizing arrays using cell-based timing elements
US8019981B1 (en) Loop instruction execution using a register identifier
US9372948B1 (en) Interconnect speed model characterization in programmable integrated circuits
Heath et al. A deterministic globally asynchronous locally synchronous microprocessor architecture
US20150058575A1 (en) Precharge disable using predecoded address
Fischer et al. FPGA design for DDR3 Memory
JP5239747B2 (ja) 論理シミュレーションプログラム、論理シミュレーション装置、論理シミュレーション方法
US20180107612A1 (en) System and Method of Determining Memory Access Time
US20230205959A1 (en) Hybrid synchronous and asynchronous control for scan-based testing
US11048843B1 (en) Dynamic netlist modification of compacted data arrays in an emulation system

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant