CN115470747A - 一种实现时序快速收敛的时钟树综合方法 - Google Patents

一种实现时序快速收敛的时钟树综合方法 Download PDF

Info

Publication number
CN115470747A
CN115470747A CN202211200737.9A CN202211200737A CN115470747A CN 115470747 A CN115470747 A CN 115470747A CN 202211200737 A CN202211200737 A CN 202211200737A CN 115470747 A CN115470747 A CN 115470747A
Authority
CN
China
Prior art keywords
clock
clock tree
fcht
time sequence
synthesis
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202211200737.9A
Other languages
English (en)
Other versions
CN115470747B (zh
Inventor
雷倩倩
王虎虎
赵二虎
郭蒙
刘宁宁
李连碧
冯松
杨延飞
刘露
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Xian Polytechnic University
Original Assignee
Xian Polytechnic University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Xian Polytechnic University filed Critical Xian Polytechnic University
Priority to CN202211200737.9A priority Critical patent/CN115470747B/zh
Publication of CN115470747A publication Critical patent/CN115470747A/zh
Application granted granted Critical
Publication of CN115470747B publication Critical patent/CN115470747B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/396Clock trees
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/04Constraint-based CAD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2115/00Details relating to the type of the circuit
    • G06F2115/12Printed circuit boards [PCB] or multi-chip modules [MCM]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明公开了一种实现时序快速收敛的时钟树综合方法,该方法对寄存器传输级代码采用DCG模式进行逻辑综合,采用门控时钟插入技术来降低功耗;布局前,根据芯片布图的位置,选择合适的位置人工插入FCHT时钟结构的主干和分支,在时钟树综合阶段基于FCHT时钟结构,采用CCOPT技术进行时钟树综合,同时应用有效偏差更加准确的评估时钟偏差的影响,最终通过静态时序分析检查时序,确保达到签核的标准;本发明方法解决了传统时钟树综合方案时序收敛困难,设计时间长的问题,有利于得到一种高质量、时钟偏差小、时序符合要求的时钟树设计。

Description

一种实现时序快速收敛的时钟树综合方法
技术领域
本发明属于数字集成电路后端设计技术领域,具体涉及一种实现时序快速收敛的时钟树综合方法。
背景技术
随着后摩尔时代的来临,人们不仅对集成电路的需求不断增加,而且对集成电路的集成度、性能以及功耗提出了更为严格的要求。这些发展无疑都对芯片的时序提出了更高的要求。而在整个数字电路后端物理设计当中,与时序联系最为紧密的一步就是时钟树综合(Clock Tree Synthesis,CTS)。尤其是在工艺尺寸不断缩小的今天,互连延时所占的比重越来越大,这使得时钟树综合之后得到相对较小的时钟偏差将会更难。
时钟树综合对芯片设计的影响如此之大不仅仅因为它是集成电路设计后端流程中的关键一步,更在于它与整个设计的时序息息相关。若想获得高质量的时钟树,仅仅在时钟树综合时干预是远远不够的,还需要从后端设计流程的每一步入手,寻找最合理的时钟网络设计方法,以此在满足设计要求和达到时序收敛的前提下,尽可能降低时钟网络功耗,进一步优化芯片的性能。由此可见,研究深纳米工艺节点下集成电路的后端设计技术,对减小芯片关键性问题、缩短产品设计周期、提高芯片稳定性以及满足项目设计目标具有十分重大的意义和研究价值。综合来看,传统时钟树综合方案存在时序收敛较为困难,且设计时间较长的问题。
发明内容
本发明的目的是提供一种实现时序快速收敛的时钟树综合方法,解决了目前传统时钟树综合方案存在的时序收敛困难,设计时间长的问题。
本发明所采用的技术方案是;
一种实现时序快速收敛的时钟树综合方法,具体包括如下步骤:
步骤1:对RTL代码在DCG模式下进行逻辑综合,根据综合结果,分析功耗是否达到预期指标;如果是,则将逻辑综合得到的门级网表进行物理实现;如果否,则在RTL代码中采用门控时钟插入技术来降低功耗,直到达到预期指标;
步骤2:在满足逻辑综合要求后,通过人工插入缓冲器的方式,形成FCHT时钟结构的主干和分支,然后完成芯片的布局,进入时钟树综合;
步骤3:基于布局后得到的FCHT的主干和分支,对采用CCOPT技术的相关参数进行配置,然后基于FCHT时钟结构进行时钟树综合,最后对时钟树综合阶段的时序进行检查是否收敛,如果是,则进行绕线任务,如果否,则需要调整FCHT的结构参数;
步骤4:在绕线完成后,通过静态时序分析检查时序是否收敛,如果是,则进行签核;如果否,则需要进行调整,具体调整的有:FCHT主干和分支的分布位置、重新布图布局、在时钟树综合阶段调整FCHT的结构参数。
本发明的特点还在于;
步骤1中,对RTL代码进行逻辑综合是在物理模式下采用copmile_ultra-spg命令进行的,门控时钟的比例在90%以上。
步骤2中,根据芯片的布局信息,在芯片中心插入FCHT时钟结构的主干和分支分布,形成主干和分支所插入的是高驱动力的缓冲器。
步骤2中,高驱动力的缓冲器为BUF_X24、BUF_X48、BUF_X64的一种或多种。
步骤3中,FCHT时钟结构为时钟信号CLK由顶层时钟单元产生,CLK信号沿Trunk驱动链传输,通过各级分支Branch的缓冲器驱动到达多源驱动器MBUF,然后再由经过柔性H树传递,以便使得时钟信号均匀地到达每个寄存器。
步骤3中,FCHT时钟结构是在其不同的分支点,按照寄存器的分布范围,生成所需要级数的H树,然后采用CCOPT技术进行时钟树综合,检查时序是否满足,得到时钟树的时钟偏差小于0.3ns。
步骤4中,在做静态时序分析前,需要准备绕线后的网表,提取的寄生参数文件、时钟约束文件以及工作环境设定文件。
本发明的有益效果是:本发明一种实现时序快速收敛的时钟树综合方法,有利于得到一种高质量、时钟偏差小、时序符合要求的时钟树设计;在一定程度可以减少时钟树设计的迭代次数、时钟树综合花费的时间、得到较小的时钟偏差以及良好的时序结果,具有较好的实用意义。
附图说明
图1是本发明一种实现时序快速收敛的时钟树综合方法的整体方案的设计流程示意图;
图2是本发明一种实现时序快速收敛的时钟树综合方法中柔性H树的结构示意图;
图3是本发明一种实现时序快速收敛的时钟树综合方法中FCHT时钟树的结构示意图。
具体实施方式
下面结合附图和具体实施方式对本发明一种实现时序快速收敛的时钟树综合方法进行进一步详细说明。
请参阅图1,本发明提出一种实现时序快速收敛的时钟树综合方法,该方法对寄存器传输级(Register Transfer Level,RTL)代码采用DCG(Design Compiler Graphical)模式进行逻辑综合,采用门控时钟插入技术来降低功耗,在时钟树综合阶段基于FCHT(Flexible Configurable H-Tree)结构,采用CCOPT(Clock Concurrent Optimization)引擎设计时钟树,同时应用有效偏差更加准确的评估时钟偏差的影响,最终通过静态时序分析检查时序,确保达到签核的标准。其中;
DCG模式是基于布图规划信息进行综合的同时完成布局,即综合时就提供了实际互连延迟的准确模型,增加了综合和绕线后时序的一致性。
如图2和他图3所示,FCHT时钟结构包含主干、分支和柔性H树,其中:
时钟信号CLK由顶层时钟单元产生,CLK信号沿Trunk主干驱动链传输,通过各级分支Branch的缓冲器驱动到达多源驱动器MBUF,主干和分支是通过人工插入缓冲器链形成的,这些缓冲器是高驱动力的,可以选择BUF_X24、BUF_X48、BUF_X64或者其他高驱动力的缓冲器的一种或多种;整个时钟网络采用高层金属走线,高层金属线宽,电迁移率强,有很强的导通性来驱动大量的负载。
根据模块内寄存器的分布选择合适的分支点来生成柔性H树,然后指定其在模块内的生长范围以及生长级数。考虑到芯片的布局以及寄存器的分布,可在指定区域控制H树的生成。
CCOPT技术在时钟树综合阶段同时优化时钟路径和逻辑路径,针对传输时钟直接进行优化,并包含了片上效应和门控时钟等影响因素。即把发射时钟L,捕获时钟C,组合逻辑延迟D,都作为优化对象,即时钟周期T>L+D+C时,满足建立时间收敛。
如图1所示,对RTL代码采用DCG模式进行综合,如果功耗达到预期指标,创建FCHT时钟主干,如果没达到,采用门控时钟插入技术来降低功耗;基于布局创建FCHT时钟主干,进行时钟树综合,需要配置CCOPT技术的各项参数和FCHT时钟结构参数,然后使用ccopt_design命令完成时钟树综合,并检查时序,如果时序满足,进行绕线,通过静态时序分析检查时序,确保达到签核的标准。如果时序不满足,则需要对各项参数进行调整。
下面通过具体的实施例对本发明一种实现时序快速收敛的时钟树综合方法进行进一步详细说明。
对RTL代码采用DCG模式进行逻辑综合,综合时增加了spg算子,即通过读入带电源线的布局信息对拥塞和时序进行优化,具体综合命令为compile_ultra-spg。
布局阶段通过人工插入缓冲器来生成FCHT时钟结构的主干和分支,同时将这些插入的缓冲器属性设为固定,主干和分支具体分布根据芯片需求来进行设置,该主干的驱动单元使用高驱动能力的缓冲器,本设计选取BUF_X24的驱动单元,同时采用高层金属走线用于驱动大量的负载,使用ecoAddRepeater命令来插入缓冲器,使用add_ndr和create_route_type来创建布线规则。
时钟树综合之前定义时钟root点,同时创建FHT(Flexible H-Tree),使用create_ccopt_flexible_htree命令创建FHT,设置如下:
create_ccopt_flexible_htree-name my_flex0\;
-pin buf_01/Y\;
-sink_grid{2 2}\;
-trunk_cell BUF_X24\;
-final_cell BUF_X24\;
-name指定了柔性H树的名字,-pin指定接入时钟信号的端口为buf_01/Y,-trunk_cell指定柔性H树的内部驱动单元为BUF_X24,-final_cell指定柔性H树的叶节点驱动单元为BUF_X24。-sink_grid{2 2}即以2级H树为原型构建柔性H树,指定柔性H树的叶节点分布为2×2矩阵,根据模块内寄存器的分布,局部布局阻塞块和宏单元调整各个叶节点选择合适的分支点来生成FHT,然后指定其在模块内的生长范围以及生长级数,再用综合柔性H树的命令synthesize_ccopt_flexible_htrees完成柔性H树的综合,最后使用ccopt_design命令完成整个时钟树综合。
时钟树阶段使用有用偏差和集群算子;
使用集群算子,可快速的分配时钟sink点,节省时钟树综合的运行时间,有利于迭代设计,得到高质量的时钟树,有用偏差可以更好的平衡每个sink点的时钟偏差,相关命令为:set cluster true;set useful_skew true,工具会在时钟树综合时调用相关算子进行优化。
进行静态时序分析,再进行后续的绕线等工作。
进行静态时序分析的目的主要是更早的解决时序收敛的问题,有利于更早发现问题,减少设计风险,提高物理设计的可靠性。
本方案可根据后续的后端设计工具的改进,算法的优化,进一步得到更好的设计方案。
本发明一种实现时序快速收敛的时钟树综合方法,基于14nm工艺的2000um x2000um定制化处理器(WS_CPU)模块对所提出的时钟树方案进行实现。验证结果表明,FCHT时钟结构对功耗和偏差的影响是可接受的。本文的设计方法相比于传统平衡树设计和柔性H树设计,建立时间(register-to-register,reg2reg)的WNS(Worst Negative Slack)、TNS(Total Negative Slack)、及违例数量都有改善,结果如表1所示。绕线完成后,FCHT时钟结构的建立时间(reg2reg)的违例路径相比传统平衡树、柔性H树和3级H树,违例路径数量分别减少了56.27%、83.93%、47.12%;静态时序结果表明,相比于传统平衡树,FCHT时钟结构的建立时间WNS提高了165ps;相比于柔性H树的建立时间WNS提高了206ps;此外,相比于3级H树,FCHT时钟结构建立时间的WNS提高了100ps。
表1不同时钟结构的物理设计报告
Figure BDA0003872355940000071
本发明一种实现时序快速收敛的时钟树综合方法,基于DCG模式下的门级网表,采用FCHT时钟结构进行时钟树综合,能够减少时序修复任务,实现一种时序快速收敛的时钟树综合设计方案。

Claims (7)

1.一种实现时序快速收敛的时钟树综合方法,其特征在于,具体包括如下步骤:
步骤1:对RTL代码在DCG模式下进行逻辑综合,根据综合结果,分析功耗是否达到预期指标;如果是,则将逻辑综合得到的门级网表进行物理实现;如果否,则在RTL代码中采用门控时钟插入技术来降低功耗,直到达到预期指标;
步骤2:在满足逻辑综合要求后,通过人工插入缓冲器的方式,形成FCHT时钟结构的主干和分支,然后完成芯片的布局,进入时钟树综合;
步骤3:基于布局后得到的FCHT的主干和分支,对采用CCOPT技术的相关参数进行配置,然后基于FCHT时钟结构进行时钟树综合,最后对时钟树综合阶段的时序进行检查是否收敛,如果是,则进行绕线任务,如果否,则需要调整FCHT的结构参数;
步骤4:在绕线完成后,通过静态时序分析检查时序是否收敛,如果是,则进行签核;如果否,则需要进行调整,具体调整的有:FCHT主干和分支的分布位置、重新布图布局或在时钟树综合阶段调整FCHT的结构参数。
2.根据权利要求1所述的一种实现时序快速收敛的时钟树综合方法,其特征在于,步骤1中,对RTL代码进行逻辑综合是在物理模式下采用copmile_ultra-spg命令进行的,门控时钟的比例在90%以上。
3.根据权利要求1所述的一种实现时序快速收敛的时钟树综合方法,其特征在于,步骤2中,根据芯片的布局信息,在芯片中心插入FCHT时钟结构的主干和分支分布,形成主干和分支所插入的是高驱动力的缓冲器。
4.根据权利要求3所述的一种实现时序快速收敛的时钟树综合方法,其特征在于,步骤2中,高驱动力的缓冲器为BUF_X24、BUF_X48、BUF_X64的一种或多种。
5.根据权利要求1所述的实现时序快速收敛的时钟树综合方法,其特征在于,步骤3中,FCHT时钟结构为时钟信号CLK由顶层时钟单元产生,CLK信号沿Trunk驱动链传输,通过各级分支Branch的缓冲器驱动到达多源驱动器MBUF,然后再由经过柔性H树传递,以便使得时钟信号均匀地到达每个寄存器。
6.根据权利要求1所述的一种实现时序快速收敛的时钟树综合方法,其特征在于,步骤3中,FCHT时钟结构是在其不同的分支点,按照寄存器的分布范围,生成所需要级数的H树,然后采用CCOPT技术进行时钟树综合,检查时序是否满足,得到时钟树的时钟偏差小于0.3ns。
7.根据权利要求1所述的一种实现时序快速收敛的时钟树综合方法,其特征在于,步骤4中,在做静态时序分析前,需要准备绕线后的网表,提取的寄生参数文件、时钟约束文件以及工作环境设定文件。
CN202211200737.9A 2022-09-29 2022-09-29 一种实现时序快速收敛的时钟树综合方法 Active CN115470747B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202211200737.9A CN115470747B (zh) 2022-09-29 2022-09-29 一种实现时序快速收敛的时钟树综合方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202211200737.9A CN115470747B (zh) 2022-09-29 2022-09-29 一种实现时序快速收敛的时钟树综合方法

Publications (2)

Publication Number Publication Date
CN115470747A true CN115470747A (zh) 2022-12-13
CN115470747B CN115470747B (zh) 2023-12-15

Family

ID=84335560

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202211200737.9A Active CN115470747B (zh) 2022-09-29 2022-09-29 一种实现时序快速收敛的时钟树综合方法

Country Status (1)

Country Link
CN (1) CN115470747B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024131164A1 (zh) * 2022-12-21 2024-06-27 深圳市中兴微电子技术有限公司 一种时钟树的时序调节方法及装置

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103324774A (zh) * 2012-12-29 2013-09-25 东南大学 一种基于时钟规划偏差算法的处理器性能优化方法
CN107330177A (zh) * 2017-06-26 2017-11-07 北方电子研究院安徽有限公司 一种基于Tcl/Tk脚本的时钟树扇出违约修复方法
CN108984806A (zh) * 2017-05-31 2018-12-11 深圳市中兴微电子技术有限公司 一种时钟树综合方法以及计算机可读存储介质
US10783299B1 (en) * 2018-03-27 2020-09-22 Cadence Design Systems, Inc. Simulation event reduction and power control during MBIST through clock tree management
CN112257378A (zh) * 2020-10-30 2021-01-22 东南大学 一种针对近阈值的鲁棒时钟树综合算法实现方法
US20220180031A1 (en) * 2020-12-08 2022-06-09 Synopsys, Inc. Latency offset in pre-clock tree synthesis modeling

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103324774A (zh) * 2012-12-29 2013-09-25 东南大学 一种基于时钟规划偏差算法的处理器性能优化方法
CN108984806A (zh) * 2017-05-31 2018-12-11 深圳市中兴微电子技术有限公司 一种时钟树综合方法以及计算机可读存储介质
CN107330177A (zh) * 2017-06-26 2017-11-07 北方电子研究院安徽有限公司 一种基于Tcl/Tk脚本的时钟树扇出违约修复方法
US10783299B1 (en) * 2018-03-27 2020-09-22 Cadence Design Systems, Inc. Simulation event reduction and power control during MBIST through clock tree management
CN112257378A (zh) * 2020-10-30 2021-01-22 东南大学 一种针对近阈值的鲁棒时钟树综合算法实现方法
US20220180031A1 (en) * 2020-12-08 2022-06-09 Synopsys, Inc. Latency offset in pre-clock tree synthesis modeling

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024131164A1 (zh) * 2022-12-21 2024-06-27 深圳市中兴微电子技术有限公司 一种时钟树的时序调节方法及装置

Also Published As

Publication number Publication date
CN115470747B (zh) 2023-12-15

Similar Documents

Publication Publication Date Title
CN103324774B (zh) 一种基于时钟规划偏差算法的处理器性能优化方法
US8631369B1 (en) Methods, systems, and apparatus for timing and signal integrity analysis of integrated circuits with semiconductor process variations
US7216322B2 (en) Clock tree synthesis for low power consumption and low clock skew
US7409658B2 (en) Methods and systems for mixed-mode physical synthesis in electronic design automation
CN113569524B (zh) 芯片设计中基于综合网表提取时钟树的方法及应用
CN112651207B (zh) 一种异步电路物理实现方法及系统
CN115470747A (zh) 一种实现时序快速收敛的时钟树综合方法
JP3420195B2 (ja) クロック配線の設計方法
CN1510737A (zh) 模拟和射频集成电路的物理设计方法
CN115496647A (zh) 一种gpu模块低功耗处理方法
US6192508B1 (en) Method for logic optimization for improving timing and congestion during placement in integrated circuit design
Ziesemer et al. Transistor level automatic layout generator for non-complementary cmos cells
Wilton et al. The memory/logic interface in FPGAs with large embedded memory arrays
US6931610B1 (en) Method for rapid estimation of wire delays and capacitances based on placement of cells
Ogawa et al. Timing-driven placement for general cell layout
CN116502573A (zh) 一种基于综合数据库实现后仿真的方法和系统
CN114626323B (zh) 集成电路的时序收敛方法、装置、服务器及可读存储介质
Ward et al. Structure-aware placement techniques for designs with datapaths
Moraes et al. A virtual CMOS library approach for fast layout synthesis
Deshpande et al. A review on ASIC synthesis flow employing two industry standard tools
Ganguly et al. Clock distribution design and verification for PowerPC microprocessors
Lin et al. An incremental placement flow for advanced FPGAs with timing awareness
Heusler et al. Transistor sizing for large combinational digital CMOS circuits
Pentapati et al. Tier partitioning and flip-flop relocation methods for clock trees in monolithic 3D ICs
Pedram et al. Combining technology mapping with layout

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant