CN108511517B - 铁电存储器件和制造其的方法 - Google Patents

铁电存储器件和制造其的方法 Download PDF

Info

Publication number
CN108511517B
CN108511517B CN201711296456.7A CN201711296456A CN108511517B CN 108511517 B CN108511517 B CN 108511517B CN 201711296456 A CN201711296456 A CN 201711296456A CN 108511517 B CN108511517 B CN 108511517B
Authority
CN
China
Prior art keywords
layer
superlattice structure
ferroelectric
oxide layer
memory device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201711296456.7A
Other languages
English (en)
Other versions
CN108511517A (zh
Inventor
刘香根
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
SK Hynix Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SK Hynix Inc filed Critical SK Hynix Inc
Publication of CN108511517A publication Critical patent/CN108511517A/zh
Application granted granted Critical
Publication of CN108511517B publication Critical patent/CN108511517B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02356Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the morphology of the insulating layer, e.g. transformation of an amorphous layer into a crystalline layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/512Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being parallel to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides

Abstract

在一个实施例中,一种铁电存储器件包括具有源极区和漏极区的衬底。铁电存储器件包括铁电超晶格结构,该铁电超晶格结构设置在衬底上,且具有交替堆叠的至少两种不同的介电层。此外,铁电存储器件包括设置在超晶格结构上的栅电极层。

Description

铁电存储器件和制造其的方法
相关申请的交叉引用
本申请要求2017年2月23日提交的编号为10-2017-0024300的韩国专利申请的优先权,其通过引用整体合并于此。
技术领域
本公开的实施例涉及一种铁电存储器件和制造其的方法。
背景技术
一般而言,铁电材料是在无施加的外部电场的情况下具有自发电极化的材料。更具体地,铁电材料能够维持两种稳定剩余极化状态中的一种。因此,铁电材料可以用来以非易失性方式来储存数字信息。例如,二进制信息“0”或“1”可以储存在剩余极化状态中。
铁电材料中的剩余极化可以通过施加外部电场来可逆地切换。铁电材料在非易失性存储器件中的应用已经得到了积极的研究。作为示例,可以显示出铁电性质或特性的钙钛矿材料(诸如锆钛酸铅(PZT)或钽酸锶铋(SBT))已经得到研究以应用于非易失性存储器件。
发明内容
在本公开的一个方面中,公开了一种具有铁电材料层的铁电存储器件。铁电存储器件的制造工艺与传统半导体集成电路制造工艺兼容。根据本公开的一个方面的铁电存储器件可以包括具有源极区和漏极区的衬底。铁电存储器件可以包括设置在衬底上的铁电超晶格结构。铁电超晶格结构可以具有交替堆叠或层叠的至少两种不同的介电层。此外,铁电存储器件可以包括设置在铁电超晶格结构上的栅电极层。
在本公开的另一方面中,公开了一种制造铁电存储器件的方法。在该方法中,可以提供衬底。在衬底上交替堆叠或层叠至少两种不同的介电层来形成铁电超晶格结构。在铁电超晶格结构上形成栅电极层。
附图说明
图1是图示根据本公开的一个实施例的铁电存储器件的示意图。
图2是图示根据本公开的一个实施例的铁电存储器件的示意图。
图3是图示根据本公开的一个实施例的铁电存储器件的示意图。
图4是图示根据本公开的一个实施例的铁电存储器件的示意图。
图5是示意性地图示根据本公开的一个实施例的制造铁电存储器件的方法的流程图。
图6至图10是示意性地图示根据本公开的一个实施例的制造铁电存储器件的方法的示意图。
具体实施方式
在下文中将参照附图来描述各种实施例。在附图中,为了图示的清楚,层或区域的尺寸可能被放大了。附图是关于观察者的视点来描述的。如果第一元件被称作位于第二元件上,则其可以被理解成:第一元件直接位于第二元件上;额外元件可以介于第一元件与第二元件之间;或者第一元件的一部分直接位于第二元件的一部分上。贯穿说明书,相同的附图标记可以指代相同的元件。
此外,除非在本公开的上下文中清楚地另外使用,否则单词的单数形式的表达包括单词的复数形式。术语“包括”或“具有”意在指定特征、数量、步骤、操作、元件、组件、部分或其组合的存在,但这两个术语都不排除一个或更多个其他特征、数量、步骤、操作、元件、组件、部分或其组合的存在或添加的可能性。此外,在方法或制造方法中的每个步骤或工艺不一定按照本公开中阐述的任何次序来执行,除非明确地描述了的特定顺序。换言之,在本文中公开的方法或制造方法中的每个步骤或工艺可以按照陈述的次序来依次执行、可以按照陈述的次序以外的顺序来执行或者可以基本上与一个或更多个其他步骤或工艺同时执行。步骤或工艺也可以按照反序来执行。
本公开的一个实施例包括具有铁电超晶格结构的铁电存储器件。在最近的研究中已经报道了用于在顺电材料中形成或诱生铁电性质的技术。作为示例,已经报道了在薄膜制造工艺中,当各向异性应力被施加给薄非铁电氧化铪膜时,晶格结构从四方晶系转变成斜方晶系,形成具有铁电性质的氧化铪层。作为非限制性示例,各向异性应力可以通过掺杂掺杂剂、表面能效应以及与氧化铪层相关的薄膜生长机制来产生。J.Müller等(NanoLett.,2012,12(8),pp 4318–4323)已经公开了在包括氧化铪(HfO2)和氧化锆(ZrO2)的HfxZryO2(0<x,y<1)的固溶体中,当控制构成氧化铪(HfO2)和氧化锆(ZrO2)的铪(Hf)和锆(Zr)的含量比时,HfxZryO2固溶体可以具有呈现铁电性质的四方晶系结构。
在一个实施例中,公开了具有交替堆叠或层叠在衬底上的两种或更多种不同介电层的超晶格结构。在非限制性示例中,在超晶格结构之内,具有第一组分的第一介电层可以设置在衬底上,接着是具有第二组分的第一介电层。具有第一组分的第二介电层可以设置在具有第二组分的第一介电层上,接着是具有第二组分的第二介电层。额外的介电层可以与该交替图案一致地顺序地设置在先前设置的介电层上,以形成超晶格结构。在另一非限制性示例中,可以在超晶格结构中使用一个或更多个单元堆叠。单元堆叠可以包括具有第一组分的介电层,接着是设置在具有第一组分的介电层上的具有第二、不同组分的介电层。超晶格结构中的额外单元堆叠可以这样定向,使得在超晶格结构之内,具有第一组分的介电层设置在具有第二组分的介电层之间,而具有第二组分的介电层设置在具有第一组分的介电层之间,或者使得在超晶格结构之内,具有第一组分的介电层与具有第二组分的介电层交替。
根据本公开的一个实施例,在超晶格结构中,具有不同组分的介电层之间的界面区中的部分中的晶格应变可以通过控制介电层的数量或厚度来控制。因此,超晶格结构的铁电性质可以通过界面区中的各向异性应力的形成来控制。
图1是图示根据本公开的一个实施例的铁电存储器件的示意图。参见图1,铁电存储器件1可以包括具有源极区102和漏极区103的衬底101。此外,铁电存储器件1可以包括设置在衬底101之上的超晶格结构10和栅电极层155。此实施例的铁电存储器件1可以为诸如晶体管的非易失性存储器件。
衬底101可以包括半导体材料。作为非限制性示例,衬底101可以包括硅(Si)衬底、砷化镓(GaAs)衬底、磷化铟(InP)衬底、锗(Ge)衬底或锗硅(SiGe)衬底。衬底101可以掺杂有n型或p型掺杂剂以具有导电性。
源极区102和漏极区103可以掺杂有n型或p型掺杂剂。在一个实施例中,当衬底101为n型掺杂时,源极区102和漏极区103可以为p型掺杂。在另一实施例中,当衬底101为p型掺杂时,源极区102和漏极区103可以为n型掺杂。
界面绝缘层115可以设置在衬底101与超晶格结构10之间。界面绝缘层115可以与衬底101和超晶格结构10中的每个形成稳定界面,使得界面绝缘层115抑制当衬底101与界面结构125直接接触时在界面处产生的界面缺陷。界面缺陷可以破坏衬底101与界面结构125之间的绝缘性质。例如,界面绝缘层115可以具有在衬底101的晶格常数与超晶格结构10的晶格常数之间的晶格常数。此外,界面绝缘层115可以抑制衬底101与超晶格结构10之间的材料扩散。作为非限制性示例,界面绝缘层115可以包括氧化硅、氮化硅或氮氧化硅。界面绝缘层115可以具有非晶态。
超晶格结构10可以包括交替堆叠或层叠的至少两种不同的介电层。在一个实施例中,至少两种不同的介电层中的每个层可以在各层被包括在超晶格结构10中之前具有铁电特性。在另一实施例中,至少两种不同的介电层中的每个层可以在各层被包括在超晶格结构10中之前不具有铁电特性。
参见图1,在一个实施例中,超晶格结构10可以包括介电层125a、125b、125c、135a、135b和135c。介电层125a、125b和125c可以包括氧化铪,而介电层135a、135b和135c可以包括氧化锆。
在一个实施例中,氧化铪层125a、125b和125c以及氧化锆层135a、135b和135c可以不掺杂。在另一实施例中,作为非限制性示例,氧化铪层125a、125b和125c以及氧化锆层135a、135b和135c的每个可以包括碳(C)、硅(Si)、镁(Mg)、铝(Al)、钇(Y)、氮(N)、锗(Ge)、锡(Sn)、锶(Sr)、铅(Pb)、钙(Ca)、钡(Ba)、钛(Ti)、锆(Zr)、钆(Gd)或其两种或更多种的组合来作为掺杂剂。
在一个实施例中,超晶格结构10可以包括多个单元堆叠10a、10b和10c。单元堆叠10a可以包括一个氧化铪层125a和一个氧化锆层135a。类似地,单元堆叠10b可以包括一个氧化铪层125b和一个氧化锆层135b,而单元堆叠10c可以包括一个氧化铪层125c和一个氧化锆层135c。在一个实施例中,超晶格结构10可以包括三个单元堆叠结构10a、10b和10c,其中一个单元堆叠结构设置在界面绝缘层115上,而剩余的单元堆叠结构设置成使得氧化铪层125a、125b和125c与氧化锆层135a、135b和135c交替。然而,在一些其他实施例中,单元堆叠的数量可以不限于三个。在这些实施例中,两个或更多个单元堆叠可以被包括在超晶格结构中,其中具有第一组分的介电层设置在具有第二组分的介电层之间,或者与具有第二组分的介电层交替层叠。
在一个实施例中,氧化铪层125a、125b和125c中的每个以及氧化锆层135a、135b和135c中的每个可以为具有大约五埃
Figure BDA0001500385290000041
的厚度的单层。在另一实施例中,氧化铪层125a、125b和125c中的每个以及氧化锆层135a、135b和135c中的每个可以包括多个单层,其中每个单层具有大约
Figure BDA0001500385290000042
的厚度。
在一个实施例中,超晶格结构10可以具有大约五到二十纳米(5nm到20nm)的整体厚度。因此,可以改变构成超晶格结构10的单元堆叠的数量以实现大约5nm到20nm的厚度,或者实现不同的厚度。可选地,可以单独地改变氧化铪层125a、125b和125c以及氧化锆层135a、135b和135c的厚度以实现超晶格结构10的大约5nm到20nm的总体厚度,或者实现不同的厚度。
在一个实施例中,氧化铪层125a、125b和125c以及氧化锆层135a、135b和135c可以各自具有相同的厚度。在又一实施例中,氧化铪层125a、125b和125c以及氧化锆层135a、135b和135c可以各自具有相同数量的单层。
参见图1,超晶格结构10的最上层可以为氧化锆层135c,去极化抑制层145设置在氧化锆层135c上。此外,设置在衬底101和界面绝缘层115上的超晶格结构10的最下层可以为可以与界面绝缘层115交界的氧化铪层125a。
去极化抑制层145可以设置在超晶格结构10与栅电极层155之间。通常,当其为不同种类材料的铁电材料和导体直接形成界面时,在不同材料的界面区可以出现去极化现象。去极化现象意味着铁电材料的铁电性在铁电材料与导体之间的界面区处降低或减退。当反复执行对铁电材料的剩余极化的切换操作时,去极化现象可以使铁电材料的疲劳特性劣化。在此实施例中,去极化抑制层145设置在超晶格结构10与栅电极层155之间以防止铁电超晶格结构10的铁电性质退化。
去极化抑制层145可以包括电绝缘体。在一个实施例中,去极化抑制层145可以具有高k介电常数,使得可以在超晶格结构10与去极化抑制层145之间的界面处抑制来自反复切换操作的极化退化。作为示例,具有高k介电常数的去极化抑制层145可以包括氧化锆层。作为另一示例,具有高k介电常数的去极化抑制层145可以包括氧化铝层。
参见图1,栅电极层155可以设置在去极化抑制层145上。栅电极层155可以包括(但不限于)金属、导电金属氮化物、导电金属氧化物或导电金属碳化物。例如,作为非限制性示例,栅电极层155可以包括钨(W)、钛(Ti)、铜(Cu)、铝(Al)、钌(Ru)、氮化钨、氮化钛、氮化钽、碳化钨、碳化钛、硅化钨、硅化钛、硅化钽、氧化钌或其两种或更多种的组合。
在一个实施例中,上述的铁电存储器件能够在铁电超晶格结构10中形成具有不同极性的极化。形成的极化可以以非易失性方式储存在铁电超晶格结构10中。当读取电压被施加给栅电极层155时,储存的具有不同极性的极化可以改变在界面绝缘层115之下的衬底101中形成的沟道区中的载流子的密度。根据载流子的密度,区分经过沟道区传导的工作电流,使得可以识别储存在铁电存储器件中的电信息。
在一些实施例中,超晶格结构10可以包括介电层的组合,介电层包括不同的材料结构。介电层可以从具有晶体结构的材料中选择,在该晶体结构中,单元晶格中的原子可以响应于外部施加的应力而相对于彼此移动到不对称的位置。例如,介电层的材料可以具有与四方晶系、单斜晶系或立方晶系(其中的每个晶系容易转变成呈现出铁电性的斜方晶系)一致的晶体结构。此时,单元堆叠中的介电层可以包括具有类似原子尺寸的金属氧化物。
如上所述,在一个实施例中,公开了一种超晶格结构,该超晶格结构中诸如氧化铪层和氧化锆层的不同介电层交替堆叠在衬底上。在这样的实施例中,超晶格结构中的不同组分的介电层之间的界面区中的晶格应变可以随着减小介电层的厚度(包括例如将厚度减小到单层)而有效地增加。因此,超晶格结构的铁电性质可以通过在构成超晶格结构的介电层的具有晶格应变的界面区中产生的各向异性应力来控制。
图2是图示根据本公开的一个实施例的铁电存储器件的示意图。参见图2,除了在铁电存储器件2中的超晶格结构10与栅电极层155之间省略了去极化抑制层145(图1所示)之外,铁电存储器件2与以上参照图1描述的铁电存储器件1具有实质上相同的配置。
参见图2和铁电存储器件2,在一个实施例中,层135c为可以设置成超晶格结构10和单元堆叠10c的最上层的氧化锆层。氧化锆层135c可以直接接触栅电极层155,且可以用作用于超晶格结构10的去极化抑制层。
在其他实施例中,单元堆叠的数量可以不固定为三个。在这样的实施例中,一个或更多个单元堆叠可以被包括在超晶格结构中。额外的单元堆叠可以被定向,使得在超晶格结构之内,具有第一组分的介电层设置在具有第二组分的介电层之间,而具有第二组分的介电层设置在具有第一组分的介电层之间,或者使得在超晶格结构之内,具有第一组分的介电层与具有第二组分的介电层交替,单元堆叠的定向导致栅电极层155设置在氧化锆层上。
参见图2和铁电存储器件2,在一个实施例中,层135a、135b和135c各自包括氧化锆,然而,单元堆叠结构10c的氧化锆层135c的厚度可以大于单元堆叠结构10a和10b的氧化锆层135a和135b的每个氧化锆层的厚度。
参见图2和铁电存储器件2,在此实施例中,出现在栅电极层155与超晶格结构10之间的界面处的去极化现象可以通过将栅电极层155设置在具有高k介电常数的氧化锆层135c上来有效地抑制,而不引入额外的去极化抑制层。
图3是示意性地图示根据本公开的一个实施例的图3的铁电存储器件3的剖视图。参见图3,除了超晶格结构30中的介电层的堆叠次序之外,铁电存储器件3与以上参照图1而描述的实施例的铁电存储器件1具有实质上相同的配置。
参见图3和铁电存储器件3,在一个实施例中,超晶格结构30可以包括三个单元堆叠结构30a、30b和30c。单元堆叠结构30a、30b和30c中的每个单元堆叠结构可以分别包括氧化锆层135a、135b和135c以及分别包括氧化铪层125a、125b和125c。在一个实施例中,超晶格结构30可以包括三个单元堆叠结构30a、30b和30c,其中一个单元堆叠结构设置在界面绝缘层115上,而剩余的单元堆叠结构设置成使得氧化锆层135a、135b和135c与氧化铪层125a、125b和125c交替。然而,在一些其他实施例中,单元堆叠的数量可以不固定为三个。在这样的实施例中,一个或更多个单元堆叠可以被包括在超晶格结构中。额外的单元堆叠可以被定向,使得在超晶格结构之内,具有第一组分的介电层设置在具有第二组分的介电层之间,而具有第二组分的介电层设置在具有第一组分的介电层之间,或者使得在超晶格结构之内,具有第一组分的介电层与具有第二组分的介电层交替,单元堆叠的定向导致氧化锆层设置在衬底或界面绝缘层上。
参见图3,超晶格结构30的最上层可以为氧化铪层125c,去极化抑制层145设置在氧化铪层125c上。此外,设置在衬底101和界面绝缘层115上的超晶格结构30的最下层可以为氧化锆层135a,氧化锆层135a可以与界面绝缘层115交界。因此,氧化锆层135a可以为超晶格结构30的最下层以与界面绝缘层115交界,而氧化铪层125c可以为超晶格结构30的顶层。
在此实施例中,去极化抑制层145可以设置在氧化铪层125c上以防止形成与栅电极层155的直接接触。去极化抑制层145可以包括具有高k介电常数的电绝缘体。作为示例,去极化抑制层145可以包括氧化锆层。作为另一示例,去极化抑制层145可以包括氧化铝层。
图4是示意性地图示根据本公开的一个实施例的铁电存储器件4的剖视图。参见图4,除了超晶格结构40中的介电层的次序之外,铁电存储器件4与以上参照图2描述的实施例的铁电存储器件2具有实质上相同的配置。
参见图4和铁电存储器件4,在一个实施例中,超晶格结构40可以包括三个单元堆叠结构40a、40b和40c以及介电层135d。介电层135d可以包括氧化锆。单元堆叠结构40a、40b和40c中的每个单元堆叠结构可以分别包括氧化锆层135a、135b和135c以及分别包括氧化铪层125a、125b和125c。在一个实施例中,超晶格结构40可以包括三个单元堆叠结构40a、40b和40c,其中一个单元堆叠结构设置在界面绝缘层115上而剩余的单元堆叠结构设置成使得氧化锆层135a、135b和135c与氧化铪层125a、125b和125c交替。然而,在一些其他实施例中,单元堆叠的数量可以不固定为三个。在这样的实施例中,一个或更多个单元堆叠可以被包括在超晶格结构中。额外的单元堆叠可以被定向,使得在超晶格结构之内,具有第一组分的介电层设置在具有第二组分的介电层之间而具有第二组分的介电层设置在具有第一组分的介电层之间,或者使得在超晶格结构之内,具有第一组分的介电层与具有第二组分的介电层交替,单元堆叠的定向导致氧化锆层设置在衬底或界面绝缘层上。
再次参见图4,超晶格结构40的最上层可以为氧化铪层125c,氧化锆层135d设置在氧化铪层125c上。此外,设置在衬底101和界面绝缘层115上的超晶格结构40的最下层可以为可以与界面绝缘层115交界的氧化锆层135a。因此,氧化锆层135a可以为超晶格结构40的最下层以与界面绝缘层115交界,而氧化锆层135d可以为超晶格结构40的顶层。
如果超晶格结构40包括三个单元堆叠结构40a、40b和40c而无氧化锆层135d,则氧化铪层125c可以为超晶格结构40的最上层。在缺失氧化锆层135d的情况下,氧化铪层125c可以直接与栅电极层155交界,导致氧化铪层125c的去极化。
为了防止这样的去极化,在一个实施例中,在单元堆叠结构40c上额外形成氧化锆层135d作为超晶格结构40的最上层,使得氧化锆层135d可以与栅电极层155直接形成交界。相比于氧化铪层125c,氧化锆层135d相对于栅电极层155提供更多的电绝缘和更大的界面稳定性。按照此方式,在栅电极层155与超晶格结构40之间的界面处出现的任何去极化现象可以得到有效的抑制,而不引入额外的去极化抑制层。在一些实施例中,氧化锆层135a、135b、135c和135d可以各自具有实质上相同的厚度。
图5是示意性地图示根据本公开的一个实施例的制造铁电存储器件的方法的流程图。图6至图10是示意性地图示根据本公开的一个实施例的制造铁电存储器件的方法的剖视图。本文中公开且在图5至图10中示出的步骤和工艺可以描述以上参照图1而描述的铁电存储器件1的一个实施例的制造方法。
参见图5的操作S110和图6,提供衬底100和界面绝缘层110。作为非限制性示例,衬底100可以包括硅(Si)衬底、砷化镓(GaAs)衬底、磷化铟(InP)衬底、锗(Ge)衬底或锗硅(SiGe)衬底。衬底100可以用n型或p型掺杂剂来掺杂以具有导电性。
虽然在图6至图10中未示出,但是源极区和漏极区可以形成在衬底100中。源极区和漏极区可以掺杂有与衬底100相反的掺杂类型的掺杂剂。在一个实施例中,当衬底100为n型掺杂时,源极区和漏极区可以为p型掺杂。在另一实施例中,当衬底100为p型掺杂时,源极区和漏极区可以为n型掺杂。源极区和漏极区可以形成在衬底100的上部中。源极区和漏极区可以各自为阱的形式,以及通过例如本领域公知的离子注入方法来创建。
参见图6,界面绝缘层110形成在衬底100的上部中,且可以补偿在将形成在界面绝缘层110上的超晶格结构50与衬底100之间的晶格常数上的差异以消除、减小或控制界面应力。例如,界面绝缘层110可以具有在衬底100的晶格常数与超晶格结构50的晶格常数之间的晶格常数。此外,界面绝缘层110可以用作衬底100与超晶格结构50之间的材料扩散的阻挡物。
作为非限制性示例,界面绝缘层110可以包括氧化硅材料、氮化硅材料或氮氧化硅材料。界面绝缘层110可以通过例如应用化学气相沉积方法、原子层沉积方法、涂覆方法或其他本领域公知方法来形成。
参见图5的操作S120和图7至图8,至少两种不同的介电层交替堆叠或层叠在界面绝缘层110上以形成超晶格结构50。在非限制性示例中,在超晶格结构之内,具有第一组分的第一介电层可以形成在衬底上,接着是具有第二组分的第一介电层。具有第一组分的第二介电层可以形成在具有第二组分的第一介电层上,接着是具有第二组分的第二介电层。额外的介电层可以与该交替图案一致地顺序地形成在先前形成的介电层上,以形成超晶格结构。在另一非限制性示例中,可以在超晶格结构中使用一个或更多个单元堆叠。单元堆叠可以包括具有第一组分的介电层,接着是形成在具有第一组分的该介电层上的具有第二、不同组分的介电层。超晶格结构中的额外单元堆叠可以被定向,使得在超晶格结构之内,具有第一组分的介电层形成在具有第二组分的介电层之间,而具有第二组分的介电层形成在具有第一组分的介电层之间,或者使得在超晶格结构之内,具有第一组分的介电层与具有第二组分的介电层交替。
更具体地,参见图7,第一氧化铪层120a和第一氧化锆层130a顺序地形成在界面绝缘层110上。第一氧化铪层120a和第一氧化锆层130a可以形成第一单元堆叠结构50a。第一氧化铪层120a和第一氧化锆层130a可以通过使用例如原子层沉积方法、化学气相沉积方法、分子束蒸发方法、蒸发方法或其他本领域公知方法来形成。
在一个实施例中,使用原子层沉积方法,在界面绝缘层110上将第一氧化铪层120a形成为大约
Figure BDA0001500385290000091
厚的单层,然后在第一氧化铪层120a上将第一氧化锆层130a形成为大约
Figure BDA0001500385290000092
厚的单层。
在另一实施例中,使用原子层沉积方法,通过在界面绝缘层110上设置氧化铪的多个单层来形成第一氧化铪层120a,然后通过在第一氧化铪层120a上设置氧化锆的多个单层来形成第一氧化锆层130a。在一个实施例中,第一氧化铪层120a的厚度和第一氧化锆层130a的厚度可以实质上相同。
在一个实施例中,可以在例如大约150摄氏度(℃)到350摄氏度(℃)的衬底温度下形成第一氧化铪层120a和第一氧化锆层130a。第一氧化铪层120a和第一氧化锆层130a可以以非晶态、部分晶态或全晶态来形成。
参见图8,第二单元堆叠50b和第三单元堆叠50c顺序地形成在第一单元堆叠50a上。单元堆叠50b包括第二氧化铪层120b和第二氧化锆层130b,而第三单元堆叠结构50c包括第三氧化铪层120c和第三氧化锆层130c。
第二单元堆叠结构50b和第三单元堆叠结构50c可以通过使用与形成第一单元堆叠结构50a实质上相同的方法来形成。因此,超晶格结构50可以由三个单元堆叠结构50a、50b和50c来形成。在一个实施例中,超晶格结构50可以形成为例如具有大约5nm到20nm的总厚度。
参见图5的操作S130和图9至图10,在一个实施例中,去极化抑制层140可以额外形成在超晶格结构50上。去极化抑制层140可以例如包括氧化锆层或氧化铝层。去极化抑制层140可以例如使用化学气相沉积方法、原子层沉积方法、涂覆方法或本领域公知的类似方法来形成。在一个实施例中,当形成氧化锆层作为去极化抑制层140时,可以在超晶格结构50的形成期间原位执行用于形成氧化锆层的工艺。在另一实施例中,可以在形成超晶格结构50之后非原位执行用于形成去极化抑制层140的工艺。
参见图10,栅电极层150形成在去极化抑制层140上。作为非限制性示例,栅电极层150可以包括钨(W)、钛(Ti)、铜(Cu)、铝(Al)、氮化钨、氮化钛、氮化钽、碳化钨、碳化钛、硅化钨、硅化钛、硅化钽、氧化钌或其两种或更多种的组合。栅电极层150可以例如通过使用溅射方法、化学气相沉积方法、蒸发方法或原子层沉积方法来形成。
虽然未示出,但是当氧化铪层120a、120b和120c以及氧化锆层130a、130b和130c中的至少一个以非晶态形成时,可以额外执行用于氧化铪层120a、120b和120c以及氧化锆层130a、130b和130c的晶化热处理。晶化热处理可以在大约400℃到600℃的工艺温度下执行。
在一个实施例中,晶化热处理可以在形成栅电极层150之后执行。在另一实施例中,晶化热处理可以在形成栅电极层150之前执行。在又一实施例中,晶化热处理可以在形成栅电极层150期间执行。当形成栅电极层150是在大约400℃到600℃的工艺温度下执行时,氧化铪层120a、120b和120c以及氧化锆层130a、130b和130c在栅电极层150的形成期间可以晶化,在这种情况下可以省略额外的晶化热处理。
虽然未示出,但是栅电极层150和超晶格结构50可以在衬底100之上图案化以形成栅结构。结果,可以制造铁电存储器件,该铁电存储器件包括栅结构、形成在栅结构之下的衬底100中的沟道区以及设置在栅结构的两侧的衬底中的源极区和漏极区。虽然未示出,但是在一些实施例中,可以在形成栅结构之后形成源极区和漏极区。源极区和漏极区可以通过使用公知的离子注入方法对衬底100选择性地掺杂来形成。
在一些其他实施例中,可以省略以上参照图5、图9和图10而描述的形成去极化抑制层140的工艺。因此,作为超晶格结构50的最上层的氧化锆层130c可以执行去极化抑制层140的功能。这里,第三单元堆叠结构50c的氧化锆层130c的厚度可以分别比第一单元堆叠结构50a的氧化锆层130a的厚度和第二单元堆叠结构50b的氧化锆层130b的厚度大。这样的实施例与以上参照图2而描述的实施例的铁电存储器件2的制造一致。
在一些其他实施例中,在图5的S120中形成单元堆叠50a、50b和50c时,在图7至图10中所示的每个单元堆叠之内可以将氧化铪层120a、120b和120c以及氧化锆层130a、130b和130c的次序反转。更具体地,在单元堆叠结构50a、50b和50c中,氧化锆层130a、130b和130c可以形成在相应单元堆叠结构的下部中,而氧化铪层120a、120b和120c可以形成在每个相应单元堆叠的上部处。换言之,在每个单元堆叠之内,氧化锆层可以形成得相对于氧化铪层更靠近衬底100,而氧化铪层反而形成得相对于氧化锆层更靠近栅电极150。这样的实施例与以上参照图3而描述的实施例的铁电存储器件3的制造一致。此外,可以在形成超晶格结构50的工艺中在氧化铪层120c上形成额外的氧化锆层,而非在单元堆叠结构50c的氧化铪层120c上形成去极化抑制层140。这样的实施例与以上参照图4而描述的实施例中的铁电存储器件4的制造一致。
如上所述,根据本公开的实施例,包括交替堆叠或层叠的至少两种不同的介电层的超晶格结构形成在衬底上。在超晶格结构的形成中不同的介电层之间的界面处产生的应力可以将超晶格结构转变成铁电结构。根据本公开的实施例,通过有效地增加界面区中的晶格应变以及控制具有增加的晶格应变的界面区中的各向异性应力,可以提供结构稳定的铁电材料层。
以上已经出于说明的目的而公开了本发明构思的实施例。本领域技术人员将会理解,在不脱离所附权利要求书中所公开的本发明构思的范围和精神的情况下,各种修改、添加或删减是可能的。

Claims (14)

1.一种铁电存储器件,包括:
衬底,具有源极区和漏极区;
铁电超晶格结构,设置在衬底上,以非易失性方式储存具有不同极性的极化,以及所述铁电超晶格结构包括交替堆叠的氧化铪层和氧化锆层;
去极化抑制层,设置在铁电超晶格结构上,且所述去极化抑制层包括氧化锆层或氧化铝层;以及
栅电极层,设置在所述去极化抑制层上,
其中,所述铁电超晶格结构的最上层是氧化锆层,所述去极化抑制层设置在所述氧化锆层上。
2.如权利要求1所述的铁电存储器件,
其中,氧化铪层和氧化锆层具有实质上相同的厚度。
3.如权利要求1所述的铁电存储器件,
其中,铁电超晶格结构包括多个单元堆叠结构,以及
所述多个单元堆叠结构中的每个单元堆叠结构包括一个氧化铪层和一个氧化锆层。
4.如权利要求3所述的铁电存储器件,
其中,铁电超晶格结构具有5nm到20nm的总厚度。
5.如权利要求1所述的铁电存储器件,
其中,栅电极层包括选自钨W、钛Ti、铜Cu、铝Al、钌Ru、氮化钨、氮化钛、氮化钽、碳化钨、碳化钛、硅化钨、硅化钛、硅化钽和氧化钌中的至少一种。
6.如权利要求1所述的铁电存储器件,
还包括设置在衬底与铁电超晶格结构之间的界面绝缘层,
其中,界面绝缘层包括选自氧化硅、氮化硅和氮氧化硅中的一种。
7.一种制造铁电存储器件的方法,包括:
提供衬底;
通过在衬底上交替堆叠氧化铪层和氧化锆层来形成铁电超晶格结构;
在铁电超晶格结构上形成去极化抑制层,所述去极化抑制层包括氧化锆层或氧化铝层;以及
在所述去极化抑制层上形成栅电极层,
其中,所述铁电超晶格结构的最上层是氧化锆层,所述去极化抑制层设置在所述氧化锆层上。
8.如权利要求7所述的方法,
其中,使用原子层沉积方法、化学气相沉积方法、分子束沉积方法和蒸发方法中的一种来执行形成铁电超晶格结构。
9.如权利要求7所述的方法,
其中,在150℃到350℃的衬底温度下来执行形成铁电超晶格结构。
10.如权利要求7所述的方法,
其中,形成铁电超晶格结构包括:
形成氧化铪层作为单层;以及
形成氧化锆层作为与所述氧化铪层接触的单层,
其中,氧化铪层的厚度与氧化锆层的厚度实质上相同。
11.如权利要求10所述的方法,其中,在形成铁电超晶格结构中,铁电超晶格结构的总厚度为5nm到20nm。
12.如权利要求7所述的方法,其中,栅电极层包括选自钨W、钛Ti、铜Cu、铝Al、钌Ru、氮化钨、氮化钛、氮化钽、碳化钨、碳化钛、硅化钨、硅化钛、硅化钽和氧化钌中的至少一种。
13.如权利要求7所述的方法,
还包括对铁电超晶格结构执行晶化热处理,
其中,晶化热处理在400℃到600℃的工艺温度下来执行。
14.如权利要求13所述的方法,
其中,在栅电极层的形成期间执行晶化热处理。
CN201711296456.7A 2017-02-23 2017-12-08 铁电存储器件和制造其的方法 Active CN108511517B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2017-0024300 2017-02-23
KR1020170024300A KR20180097377A (ko) 2017-02-23 2017-02-23 강유전성 메모리 장치 및 그 제조 방법

Publications (2)

Publication Number Publication Date
CN108511517A CN108511517A (zh) 2018-09-07
CN108511517B true CN108511517B (zh) 2021-07-20

Family

ID=63167979

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711296456.7A Active CN108511517B (zh) 2017-02-23 2017-12-08 铁电存储器件和制造其的方法

Country Status (3)

Country Link
US (1) US10475813B2 (zh)
KR (1) KR20180097377A (zh)
CN (1) CN108511517B (zh)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10950384B2 (en) 2017-08-30 2021-03-16 Micron Technology, Inc. Method used in forming an electronic device comprising conductive material and ferroelectric material
US20190245056A1 (en) * 2018-02-02 2019-08-08 International Business Machines Corporation Ferroelectric devices free of extended grain boundaries
US11101362B2 (en) * 2018-07-30 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and forming method thereof
US20200098926A1 (en) * 2018-09-26 2020-03-26 Intel Corporation Transistors with ferroelectric gates
US11349008B2 (en) 2018-09-27 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor having a multilayer ferroelectric structure or a ferroelectric layer with a gradient doping profile
KR20200071852A (ko) 2018-12-04 2020-06-22 삼성전자주식회사 강유전층을 포함하는 전자 소자
US20210384197A1 (en) * 2019-06-14 2021-12-09 Samsung Electronics Co., Ltd. Semiconductor memory devices and methods of fabricating the same
JP7292140B2 (ja) * 2019-07-25 2023-06-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US11895855B2 (en) * 2019-08-29 2024-02-06 Samsung Electronics Co., Ltd. Light-emitting device
KR20210033346A (ko) * 2019-09-18 2021-03-26 삼성전자주식회사 전자 소자 및 그 제조방법
US11532355B2 (en) * 2019-09-26 2022-12-20 The Regents Of The University Of California Non-volatile multi-level cell memory using a ferroelectric superlattice and related systems
KR20210036714A (ko) * 2019-09-26 2021-04-05 삼성전자주식회사 강유전 박막 구조체 및 그 제조방법과, 강유전 박막 구조체를 포함하는 전자 소자
US11139315B2 (en) * 2019-10-31 2021-10-05 Qualcomm Incorporated Ferroelectric transistor
KR20210111625A (ko) * 2020-03-03 2021-09-13 삼성전자주식회사 강유전 박막 구조체 및 이를 포함하는 전자 소자
KR20210140858A (ko) * 2020-05-14 2021-11-23 삼성전자주식회사 반도체 장치
US11450370B2 (en) 2020-06-19 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric field-effect transistor (FeFET) memory
US11749718B2 (en) * 2021-03-05 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
WO2022225698A2 (en) * 2021-04-05 2022-10-27 The Regents Of The University Of California Superlattice, ferroic order thin films for use as high/negative-k dielectric
CN113571583A (zh) * 2021-06-16 2021-10-29 西安电子科技大学 基于HfO2-ZrO2超晶格铁电栅介质的晶体管及其制备方法
US11791383B2 (en) * 2021-07-28 2023-10-17 Infineon Technologies Ag Semiconductor device having a ferroelectric gate stack
CN113725289A (zh) * 2021-08-09 2021-11-30 西安电子科技大学 一种超晶格结构薄膜及其应用
KR20230045291A (ko) * 2021-09-28 2023-04-04 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
CN114023876B (zh) * 2021-10-29 2023-08-25 华中科技大学 一种基于HfO2/ZrO2或HfO2/Al2O3超晶格铁电忆阻器及其制备
CN114284361B (zh) * 2021-12-29 2023-03-24 湘潭大学 半导体存储器、铁电场效应晶体管和铁电薄膜电容器
US20230292526A1 (en) * 2022-03-11 2023-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Memory Device Structure and Manufacturing Method Thereof

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030152813A1 (en) * 1992-10-23 2003-08-14 Symetrix Corporation Lanthanide series layered superlattice materials for integrated circuit appalications
US20050286290A1 (en) * 2004-06-25 2005-12-29 National Tsing Hua University Ferroelectric material for perroelectric devices
US20060220106A1 (en) * 2005-03-31 2006-10-05 Choi Han-Mei Gate structures of a non-volatile memory device and methods of manufacturing the same
US20070049051A1 (en) * 2005-08-29 2007-03-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US20070187667A1 (en) * 2005-12-22 2007-08-16 Rj Mears, Llc Electronic device including a selectively polable superlattice

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5789071A (en) * 1992-11-09 1998-08-04 Northwestern University Multilayer oxide coatings
AU2003221212A1 (en) * 2002-03-26 2003-10-08 Matsushita Electric Industrial Co., Ltd. Semiconductor device and production method therefor
US20090087623A1 (en) * 2007-09-28 2009-04-02 Brazier Mark R Methods for the deposition of ternary oxide gate dielectrics and structures formed thereby
US9543500B2 (en) 2010-10-13 2017-01-10 National Institute For Materials Science Ferroelectric thin film having superlattice structure, manufacturing method thereof, ferroelectric element, and manufacturing method thereof
US9780186B2 (en) 2012-06-05 2017-10-03 National Institute Of Advanced Industrial Science And Technology Semiconductor ferroelectric storage transistor and method for manufacturing same
US9460770B1 (en) * 2015-09-01 2016-10-04 Micron Technology, Inc. Methods of operating ferroelectric memory cells, and related ferroelectric memory cells
US10347492B2 (en) * 2017-01-27 2019-07-09 Applied Materials, Inc. Modifying work function of a metal film with a plasma process

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030152813A1 (en) * 1992-10-23 2003-08-14 Symetrix Corporation Lanthanide series layered superlattice materials for integrated circuit appalications
US20050286290A1 (en) * 2004-06-25 2005-12-29 National Tsing Hua University Ferroelectric material for perroelectric devices
US20060220106A1 (en) * 2005-03-31 2006-10-05 Choi Han-Mei Gate structures of a non-volatile memory device and methods of manufacturing the same
US20070049051A1 (en) * 2005-08-29 2007-03-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US20070187667A1 (en) * 2005-12-22 2007-08-16 Rj Mears, Llc Electronic device including a selectively polable superlattice

Also Published As

Publication number Publication date
CN108511517A (zh) 2018-09-07
US20180240804A1 (en) 2018-08-23
KR20180097377A (ko) 2018-08-31
US10475813B2 (en) 2019-11-12

Similar Documents

Publication Publication Date Title
CN108511517B (zh) 铁电存储器件和制造其的方法
US10522564B2 (en) Ferroelectric memory device and method of manufacturing the same
US10964721B2 (en) Semiconductor devices including ferroelectric layer and methods of fabricating the same
US10374054B2 (en) Ferroelectric memory devices
US11424269B2 (en) Method of fabricating vertical memory device
US10923501B2 (en) Ferroelectric memory device and method of manufacturing the same
US10600808B2 (en) Ferroelectric memory cell for an integrated circuit
KR101973248B1 (ko) 극성, 비대칭성, 및 비-중심-대칭성 강유전성 물질들, 그러한 물질들을 포함하는 메모리 셀들, 및 관련 디바이스들 및 방법들
US10804294B2 (en) Ferroelectric device and method of manufacturing the same
US11848193B2 (en) Ferroelectric semiconductor device and method of manufacturing the same
US10847541B2 (en) Ferroelectric memory device and a method of manufacturing the same
US10475653B2 (en) Methods of fabricating ferroelectric memory devices
KR20180111303A (ko) 강유전성 메모리 장치 및 그 제조 방법
KR102514952B1 (ko) 비휘발성 메모리 소자 및 이를 포함하는 크로스 포인트 어레이 장치
US20220293766A1 (en) Semiconducting Ferroelectric Device
KR102479391B1 (ko) 분극 가능한 물질을 이용한 메모리 소자

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant