CN108475101B - 数字欠压检测和控制的方法和装置 - Google Patents

数字欠压检测和控制的方法和装置 Download PDF

Info

Publication number
CN108475101B
CN108475101B CN201780007436.5A CN201780007436A CN108475101B CN 108475101 B CN108475101 B CN 108475101B CN 201780007436 A CN201780007436 A CN 201780007436A CN 108475101 B CN108475101 B CN 108475101B
Authority
CN
China
Prior art keywords
voltage
threshold
circuit
sensor
slope
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780007436.5A
Other languages
English (en)
Other versions
CN108475101A (zh
Inventor
S·佐戈普洛斯
J·T·迪比尼二世
J·萨沃杰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Apple Inc
Original Assignee
Apple Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Apple Inc filed Critical Apple Inc
Publication of CN108475101A publication Critical patent/CN108475101A/zh
Application granted granted Critical
Publication of CN108475101B publication Critical patent/CN108475101B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/28Supervision thereof, e.g. detecting power-supply failure by out of limits supervision
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/30Means for acting in the event of power-supply failure or interruption, e.g. power-supply fluctuations
    • G06F1/305Means for acting in the event of power-supply failure or interruption, e.g. power-supply fluctuations in the event of power-supply fluctuations
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3206Monitoring of events, devices or parameters that trigger a change in power modality
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/324Power saving characterised by the action undertaken by lowering clock frequency
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/027Generators characterised by the type of circuit or by the means used for producing pulses by the use of logic circuits, with internal or external positive feedback
    • H03K3/03Astable circuits
    • H03K3/0315Ring oscillators
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K5/01Shaping pulses
    • H03K5/02Shaping pulses by amplifying
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K5/19Monitoring patterns of pulse trains
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K5/22Circuits having more than one input and one output for comparing pulses or pulse trains with each other according to input signal characteristics, e.g. slope, integral
    • H03K5/24Circuits having more than one input and one output for comparing pulses or pulse trains with each other according to input signal characteristics, e.g. slope, integral the characteristic being amplitude
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K5/22Circuits having more than one input and one output for comparing pulses or pulse trains with each other according to input signal characteristics, e.g. slope, integral
    • H03K5/26Circuits having more than one input and one output for comparing pulses or pulse trains with each other according to input signal characteristics, e.g. slope, integral the characteristic being duration, interval, position, frequency, or sequence
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/30Means for acting in the event of power-supply failure or interruption, e.g. power-supply fluctuations
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Nonlinear Science (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Measurement Of Current Or Voltage (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Power Sources (AREA)

Abstract

本发明公开了一种用于欠压检测和校正的方法和装置。IC包括在各种功能电路块中实现的传感器。所述传感器使用环形振荡器来实现,并且可通过多项式来表征。所述传感器用于监测提供给对应功能单元的供电电压。所述传感器在连续时钟周期中提供指示供电电压节点上的电压的信息。比较电路可用于将所检测到的电压与一个或多个电压阈值进行比较,而Δ比较电路可用于确定所述电压的斜率或变化速率。基于由所述比较电路和所述Δ比较电路执行的比较,控制电路可确定是否要采取一个或多个电压校正动作以使所述供电节点上的所述电压进入指定范围。

Description

数字欠压检测和控制的方法和装置
技术领域
本公开涉及集成电路,并且更具体地涉及在集成电路的操作期间监测并控制供电电压。
背景技术
集成电路(IC)上的晶体管数量随着特征部尺寸的减小而相应地增加。每单位面积晶体管数量的增加导致IC的热输出相应地增加。此外,每单位面积晶体管数量的增加也对应于提供给IC上各种功能电路的供电电压的降低。这继而为平衡IC的性能、功率消耗和热输出带来了重大挑战。为此,许多IC实现了监测IC的各种度量(例如,温度、电压、电压衰减)的子系统,并且基于接收到的测量结果来调整性能。
用于监测系统度量的IC子系统通常包括一个或多个传感器和至少一个控制系统。由于诸如工艺、电压和温度变化等因素,此类子系统的至少这些传感器可被耦合以从与用于为IC中的功能电路供电的电源不同的电源接收电力。例如,某些IC使用与用于为功能电路供电的那些电源分开的模拟电源。这可使传感器在一定程度上不受为IC上的功能电路供电的电源中引起的变化的影响。
发明内容
本发明公开了一种用于欠压检测和校正的方法和装置。在一个实施方案中,集成电路(IC)包括以各种功能电路块实现的多个传感器。传感器可使用环形振荡器来实现,并且可通过多项式来表征。传感器用于监测向实现其的功能单元提供的供电电压。传感器可以在多个连续的时钟周期中的每个时钟周期上提供指示供电电压节点上的电压的信息。比较电路可用于将检测到的电压与一个或多个电压阈值进行比较,而Δ比较电路可用于确定电压的斜率或变化速率。基于由比较电路和Δ比较电路执行的比较,控制电路可确定是否要采取一个或多个电压校正动作以使供电节点上的电压进入指定范围。
在各种实施方案中,这些传感器可使用环形振荡器来实现。在一个实施方案中,每个传感器可包括两个独立的具有不同特性的环形振荡器。不同的特征可由相应的特征多项式表示。可以从两个环形振荡器和电压获得频率,并且使用相应的特征多项式,可由计算电路求解电压。在另一个实施方案中,单个环形振荡器可用能够接收可变输入电压和偏置电压的专门配置的反相器来实现。可使用多种感测技术来确定电压和温度。
可基于多个比较来确定要使供电电压回到特定范围内要采取的任何电压校正动作。在每个时钟周期期间,由给定传感器检测到的供电电压节点上的电压可与一个或多个电压阈值进行比较。另外,在连续的时钟周期中,可将斜率(或电压的变化速率)与一个或多个斜率阈值进行比较。基于这些多重比较,可采取不同的动作。例如,如果电压低于指定阈值(指示其超出范围),但斜率低于某个阈值(指示其不下降或实际上正重新朝着其指定范围增加),则可采取第一电压校正动作。在第二示例中,如果电压低于阈值并且斜率高于某个阈值(指示其快速下降),则可采取第二电压校正动作。在第三示例中,如果电压高于某个阈值(指示其在指定范围内),但斜率比较指示电压正在快速下降(因此,如果不采取任何动作将会降至范围以外),则可采取第三电压校正动作。电压校正动作可包括但不限于,调节提供给功能电路块的时钟信号,增加提供给功能电路块的电流量或功能电路块的自适应时钟。此外,取决于最近检测到的电压的值和由Δ比较操作确定的趋势,可同时执行多个动作。
附图说明
现在对附图进行简要说明,下面的具体说明将参照附图进行描述。
图1是IC的一个实施方案的框图。
图2是具有多个传感器的功能电路块的一个实施方案的框图。
图3是示出了用于采用两个环形振荡器的传感器的实施方案的操作概念的框图。
图4是具有两个环形振荡器的传感器的一个实施方案的框图。
图5是具有单个环形振荡器的传感器的一个实施方案的框图。
图6是用于实现环形振荡器的电路的一个实施方案的示意图。
图7是包括电压阈值比较电路和Δ比较电路的比较器单元的一个实施方案的框图。
图8是电源管理电路的一个实施方案的框图。
图9是示出用于确定数字欠压状况的存在和校正的方法的一个实施方案的流程图。
图10是示例性系统的一个实施方案的框图。
尽管所公开的主题易受各种修改形式和替代形式的影响,但其具体实施方案在附图中以举例的方式示出并且将在本文中详细描述。然而,应当理解,附图及对附图的详细描述并非旨在将所公开的主题限制于所公开的特定形式,而正相反,其目的在于覆盖落在由所附权利要求书所限定的所公开主题的实质和范围内的所有修改形式、等同形式和替代形式。本文所使用的标题仅用于组织目的,并不旨在用于限制说明书的范围。如在整个本申请中所使用的那样,以允许的意义(即,意味着具有可能性)而非强制的意义(即,意味着必须)使用“可能”一词。类似地,字词“包括”(“include”,“including”,和“includes”)是指包括但不限于。
各种单元、电路或其他部件可被描述为“被配置为”执行一个或多个任务。在此类上下文中,“被配置为”为通常表示“具有”在操作期间执行一个或多个任务的“电路”的结构的宽泛表述。如此,即使在单元/电路/部件当前未接通时,单元/电路/部件也可被配置为执行任务。一般来讲,形成与“被配置为”对应的结构的电路可包括硬件电路和/或存储可执行以实现该操作的程序指令的存储器。该存储器可包括易失性存储器(诸如静态随机存取存储器或动态随机存取存储器)和/或非易失性存储器(诸如光盘或磁盘存储装置、闪存存储器、可编程只读存储器等)。类似地,为了描述中的方便,可将各种单元/电路/部件描述为执行一项或多项任务。此类描述应当被解释为包括短语“被配置为”。详述被配置为执行一项或多项任务的单元/电流/组件意在明确地不援引35 U.S.C.§112,段落(f)(或pre-AIA第六段)对该单元/电路/部件的解释。
具体实施方式
现在转向图1,其示出了IC的一个实施方案的框图。在所示实施方案中,IC 10包括两个功能电路块、处理单元(PU)130和PU 140。在各种实施方案中,可包括其他功能电路块,包括PU 130的另外实例。因此,本文示出了PU 130和PU 140作为示例性功能电路块,但并非旨在限制本公开的范围。PU 130和PU 140中的每一者可以是通用处理器内核、图形处理单元、数字信号处理单元或实质上被配置为执行处理功能的任何其他类型的功能单元。本公开的范围可适用于这些类型的功能电路块中的任一者以及本文中未明确提及的其他功能电路块。本文示出的功能电路块的数量也是示例性的,因为本公开不限于任何特定的数量。
所示实施方案中的PU 130是被配置为执行指令集的指令并执行通用处理操作的通用处理器内核。因此,PU 130的功能电路131可包括各种类型的电路,诸如各种类型(整数、浮点等)的执行单元、寄存器文件、调度器、指令取出单元、各种级别的高速缓存存储器以及其他可在处理器内核中实现的电路。在该实施方案中,PU 130以及其中的所有电路被耦合以接收供电电压Vdd1。然而需注意,可在PU 130的各种实施方案中实现多个电源域,并且因此实现多个供电电压。此外,提供给PU 130的供电电压在电源管理电路(未示出)的控制下可以是可变的。电源管理电路出于各种原因调整电压,诸如控制性能水平、热输出和功率消耗。
例示的实施方案中的PU 140包括功能电路146,其可实现各种类型的处理电路诸如图形处理电路,在一些实施方案中,该图形处理电路可包括图形处理核心、各种类型的存储器和寄存器等等。所示实施方案中的PU 140被耦合以接收与PU 130所接收的Vdd1分开的第二供电电压Vdd2。
PU 130和PU 140均包括多个传感器120。本文示出的传感器的具体数量是示例性的,并且在实际的实施方案中可更大、更小或者相等。传感器120可被配置为感测一个或多个性能度量或参数。在该特定实施方案中,这些传感器被配置为感测电压和温度值。所感测的电压和温度值可进而用于确定其中实现的电路是否在限制范围内操作和/或是否能够具有更高的性能。
所示实施方案中的传感器120中的每一者都耦合到与功能电路块中的其相应一者中的功能电路相同的电压供应。也就是说,PU 130中的每个传感器120被耦合以接收供电电压Vdd1,而PU 140中的每个传感器被耦合以接收Vdd2。在现有技术的实施方案中,此类传感器通常被耦合以从某个电源接收电力,该电源与这些传感器被实现的功能电路附近的功能电路的电源分开。这可能会限制它们在IC/功能电路模块中的布局,因为需要路由连接另一个电压供应。此外,现有技术实施方案中的这些传感器通常可大于本文所讨论的实施方案中使用的传感器,这可能进一步限制它们的数量和布局。相反,本文实现的传感器120可以是简化的传感器。因此,传感器120可比现有技术的传感器小。这继而可允许将更多的传感器放置在IC上。此外,这些传感器可被放置在较小的区域中,从而增加了其实施方式的通用性。在一个实施方案中,可使用一个或多个环形振荡器来实现传感器120。然而,由于由环形振荡器产生的频率可显著依赖于工艺、电压和温度变化,因此可校准这些环形振荡器使得这些依赖性不会不利地影响其精确度。下面将进一步详细讨论各种校准和操作方法。需注意,在测试期间,可使用自动测试设备(ATE)在已知电压和温度下对每个传感器执行初始校准(和表征)。可在实现IC 10的系统的启动和/或操作期间执行随后的校准。
所示实施方案中的IC 10包括量测控制电路(MCC)105。MCC 105可在IC 10的各种功能电路块中执行与传感器120操作有关的各种操作。在所示的实施方案中,MCC 105经由量测总线13耦合到传感器120中的每一者。在IC 10的操作期间,传感器120中的每一者可执行对例如其相应一个或多个环形振荡器的频率的读取、将该频率读数转换成数字格式并将该信息传输到MCC 105。在该实施方案中,MCC 105是串行总线,并且信息可在总线上移位,其操作方式类似于扫描链。然而,利用不同机构与传感器进行通信的实施方案是可能的且可设想的。
MCC 105还包括电力管理单元(PMU)115,该电力管理单元实现能够执行各种电力控制动作的电路。这些电力控制动作中的一些可基于从传感器120获得的读数来执行。在一个实施方案中,响应于确定向PU的130或140之一(或更一般地,任何功能电路块)提供电力的电源节点上的欠压状况(例如,电压“下降”),PMU 115可执行动作,以使得供电节点上的电压回到指定范围内。
虽然此处未示出,但是IC 10可包括一个或多个时钟产生电路和在时钟树的各个层级处的多个时钟门控电路。所示实施方案中的PMU 115被配置为生成可提供给时钟产生电路和时钟门控电路的信号,以执行各种动作,诸如调节时钟信号,抑制时钟信号达预定时间(通过在时钟树的一个或多个层级选通),以及改变时钟信号的频率。
IC 10还可包括片上电源、一个或多个片上稳压器或两者(此处也未示出)。PMU115可生成各种控制信号,用于提供给电源和稳压器中的任一者或两者,以控制如何向各种处理单元(或更一般地,功能电路块)提供电力。使用由PMU 115生成的控制信号可能引起的各种动作包括电源门控,控制在电压供应节点上提供的电压,以及控制提供给功能电路块的电流量。
由PMU 115执行的各种动作可基于从服务处理器111接收的信号,这将在下文更详细地讨论。在一个实施方案中,服务处理器111可提供指示要执行动作或动作的组合以及功能电路块中的哪些将是此类动作的目标的数字代码。如下文还将讨论的,服务处理器111可基于从IC 10中的各种传感器120获得的读数来生成这些代码。一般来讲,PMU 115和服务处理器111可结合采用以实现控制电路,该控制电路可确定基于感测到的信息是否要采取任何电力控制动作、将采用哪些控制动作,并且生成使得采取控制动作的信号。下文在附图中示出的实施方案的上下文中讨论了这一点。然而,应当理解,此类电路的具体实施可从一个实施方案到另一个实施方案宽泛地变化,而仍然落入本公开的范围内。因此,本文所讨论的实施方案是示例性的,但不旨在进行限制。
MCC 105可从传感器120中的每一者经由计量总线13的其对应耦合的实例接收频率信息。使用该频率信息,MCC 105可确定由传感器120中的每一者感测的电压和温度。在所示的实施方案中,MCC 105包括服务处理器111和耦合至其的存储器112。服务处理器111可执行多种功能来确定从各种传感器120接收到的读数的值,以及基于读数确定是否采取某些动作。在一个实施方案中,服务处理器可实现计算由传感器120中的每一个感测到的电压和温度的电路,执行各种比较操作,并且向PMU 115提供将要采取的任何电力控制动作的指示(以数字代码的形式),包括为校正欠压状况而执行的那些。在一些情况下,此类电路可被实现为通用处理电路,该通用处理电路执行指令以进行确定所感测到的值,与各种阈值进行比较,以及确定要由PMU 115采取的控制动作的各种任务。在其他实施方案中,上述动作可由硬连线电路执行。一般而言,计算、比较和代码生成功能可由在服务处理器111中执行的硬件电路、固件和/或软件指令的任何合适组合来执行。因此,本文所示的任何示例性电路可使用被配置用于执行特定任务的硬连线电路来实现,或者作为执行从软件和/或固件接收的指令的通用处理电路来实现。
在一个实施方案中,服务处理器111可执行软件例程的指令,以基于从传感器120中的每一个接收到的频率信息来求解电压值和温度值。其中代替软件指令的执行使用专用电路来执行这些任务的实施方案也是可能的且可设想的。服务处理器可使用存储器112来存储各种信息,包括从传感器接收到的频率信息、所确定的电压和温度信息以及在执行计算期间产生的中间信息。存储器112还可存储表征其中的传感器和电路(例如,环形振荡器)的信息。在某些操作期间,存储器112可存储临时或先前的计算结果(例如,如果需要历史结果)。存储器112可使用易失性存储器、非易失性存储器或其组合来实现。
服务处理器111可使用计算电压和温度的结果执行附加操作。在这些操作中,确定电压供应节点上的电压下降是否已经发生或即将发生。当存在于供电电压节点上的电压下降至低于指定值时,可能发生如本文所定义的电压下降。例如,可将标称值的10%的范围指定为电压供应节点上承载的供电电压的可接受范围。如果电压下降超过10%,则在本示例中将其视为电压下降。由于电压下降会导致功能电路块中电路的不期望的操作,因此希望防止它们,并且如果它们发生,则通过尽快解决它们来最小化其影响。电压下降可能由于多种原因而发生,诸如来自电源的电压瞬变或来自基本上同时切换的大量电路的切换噪声。
服务处理器111对电压下降的检测可通过进行比较操作来执行。具体地讲,存在于供电电压节点上的电压(如由传感器120感测到的电压)可与一个或多个电压阈值进行比较。如果电压低于特定阈值(例如,低于指定值的10%以上),则可指示电压下降的存在。在一些实施方案中,可将所感测的电压与多个不同阈值进行比较,其中一些阈值可以在相关供电电压的指定范围内,而其他阈值则不在。应当指出的是,可在服务处理器111的操作期间在连续的时钟周期中进行比较。时钟周期可为提供至对应功能电路块的时钟信号的周期,或者用于同步比较操作的另一个时钟信号。
除了感测电压与对应阈值的比较之外,服务处理器111还可对连续时钟周期中的供电电压的变化速率(即其斜率)进行计算。使用计算的斜率值,可确定附加信息。例如,可将斜率与一个或多个斜率阈值进行比较。如果电压比较确定电压在范围内但接近其保护带的边缘,并且斜率低于某个阈值(即,向下趋势),则可能指示电压下降即将发生,即使它尚未发生。在另一个示例中,如果电压比较恰好低于其最小保护带的阈值,但斜率高于某个阈值(指示它是向上趋势),则可能指示电压下降接近于得到解决,并且即将使供电电压回到其指定范围。
一般来讲,可将包括电压与阈值以及斜率与斜率阈值的比较组合用于确定电压供应节点上的电压状态以及电压朝向或远离电压下降的趋势。服务处理器111可使用这些比较结果来生成可提供给PMU 115的数字代码。数字代码可指示将不采取任何动作,或者可指示要采取的一个或多个特定动作来解决电压下降并且使即将发生的电压下降的影响最小化。响应于接收到这些数字代码,PMU 115可执行各种动作以最小化并且/或者解决电压下降。例如,向对应的功能电路块(例如,PU 130)提供的电流量可增加,时钟信号的频率可减小,或者时钟信号可被抑制指定的时间。这些可单独或彼此组合执行的动作是示例性的,并且也可执行在本文未明确讨论的其他动作。一般来讲,可进行控制动作,目的是解决电压供应节点上的下降,使得电压回到其指定范围内。此外,在一些实施方案中,可以防止电压下降的发生为目标而采取动作,从而维持供电电压节点上的供电电压的稳定性。
MCC 105还包括传感器120的实例以及参考传感器107。MCC 105的传感器120被耦合以接收供电电压Vdd3(如同服务处理器111和存储器112一样)。此外,传感器120可根据在IC 10上实现的传感器120的其他实例来配置。参考传感器107可从模拟电压供应AVdd接收其供电电压。所示实施方案中的参考传感器107可以是不易受工艺、电压和温度变化影响的高精确度传感器。来自参考传感器107的温度读数可在校准期间用作参考,或者可用来确定何时可能需要重新校准。
图2是具有多个传感器120的功能电路块的一个实施方案的框图。在所示的实施方案中,功能电路块(FCB)211实际上可以是在IC上实现的任何类型的功能电路。功能电路211可包括数字电路、模拟电路和混合信号电路。FCB 211的传感器120被实现在功能电路211中及其周围的各个位置中。由于其占用区域相对较小,至少一些传感器120可在由功能电路211占据的区域内实现,而其他传感器可在附近或部分地在其内实现。本实施方案中的传感器120通过量测总线13以串联配置耦合,数据(例如,用于环形振荡器的频率数据)通过该量测总线可被移位。所示实施方案中的传感器120中的每一者被耦合以接收与功能电路211所接收的供电电压相同的供电电压Vdd。
图3是示出了用于采用两个环形振荡器的传感器的实施方案的操作概念的框图。在一些实施方案中,每个传感器包括被设计为具有彼此不同的特性的两个环形振荡器。这两个环形振荡器可彼此非常接近地实现,并且因此可在基本上相同的电压和温度条件下操作。然而,由于它们的特性彼此不同,所以这两个环形振荡器可在相同的电压和温度条件下以不同的频率操作。该原理可使用来自每个环形振荡器的频率读数来确定传感器处的电压和温度。
在所示的示例中,两个环形振荡器RO1和RO2分别耦合到计数器1和计数器2。在读取时,可允许每个环形振荡器将其相应的耦合计数器来回切换预先确定的时间量。在已经过预先确定的时间之后,这些计数器可被冻结并且提供它们的计数值以指示频率。
环形振荡器中的每一者可通过多项式来表征。更具体地讲,由每个环形振荡器输出的频率可通过电压和温度的非线性函数来表征,其形式如方程1所示:
fRO=ΣαijTiVj (1)
因此,RO1的频率可表征为:
fRO1=ΣαijTiVj (2),
而RO2的频率可表征为:
fRO2=ΣβijTiVj (3)。
上述方程中的“f”项可表示频率,或另选地,可表示振荡频率和相数的乘积与参考频率之比。该表达式中对应于给定环形振荡器的各项的数量的确定取决于该环形振荡器的特性。一般来讲,较高数量的非线性项会增加用多项式表示环形振荡器频率的准确性。
输出频率(或上述乘积)可提供给非线性方程求解器。使用表征环形振荡器的多项式,对传感器检测到的电压和温度两者,可求解该联立方程组。在一个实施方案中,可使用服务处理器111(图1)和由此执行的软件指令来实现非线性方程求解器。更一般地,可使用硬件、软件、固件及其任何组合来实现非线性方程求解器。此外,可在对应的功能电路块中局部地执行非线性方程的求解,这在一些实施方案中是可能的且可设想的。
以上方程中的系数可基于实际的环形振荡器频率针对给定的一组电压和温度值来计算。考虑一个环形振荡器模型,其中使用用于计算环形振荡器特性的一组24个数据点(电压、温度和输出频率)的9项函数来定义频率。如果使用更多数量的数据点来确定系数,则所得到的函数可更好地表征对应的环形振荡器。该技术可被称为环形振荡器特性的表面拟合,并且可使用数值技术将一组大量的数据点映射到多项式。
作为一个示例,考虑环形振荡器的频率由以下表达式定义:
fRO=α22T2V221T2V120T2V012T1V211T1V110T1V002T0V201T0V100T0V0 (4)
如果环形振荡器频率的测量结果出现在以下范围内:
{(f0,V0,T0),(f1,V1,T1),...,(f23,V23,T23)},
那么可形成下列矩阵:
F=[f0 f1 ... f23] (5)
A=[α22 α21 α20 α12 α11 α10 α02 α01 α00] (6)
X=[X0 X1 ... X23],
其中Xj=[Tj 2 Vj 2 Tj 2 Vj 1 Tj 2 Vj 0 Tj 1 Vj 2 Tj 1 Vj 1 Tj 1 Vj 0 Tj 0 Vj 2 Tj 0 Vj 1 Tj 0 Vj 0]T (7)。
因此,频率F可被定义为F=AX(8)。使用最小二乘估计可求解项A,从而计算初始表面拟合中的所有系数。
这个概念可扩展到具有各自如上所述表征的一组不同特性的两个环形振荡器。因此,彼此非常接近地放置、接收相同的供电电压并且在基本上相同的局部温度下操作的两个环形振荡器可用如下两个表达式表征:
Figure GDA0002746661100000111
以上假设两个环形振荡器用具有相等长度的多项式来表征,但这对于所有实例不一定是必需的。
使用分段线性(PWL)技术可降低求解上述联立方程组的复杂性。使用该技术,可使用一组PWL函数来描述环形振荡器的输出频率的二维非线性表面。在整个电压和温度上的操作表面可被分成多个三角形区域,对于每个三角形区域,可使用电压和温度的线性函数来描述对应区域的特性。因此,整个表面可被分成整数n个PWL区域,如下所述:
Figure GDA0002746661100000112
PWL函数中的每一者的系数可使用描述任何给定区域的三角形的三个顶点处的输出频率来确定。例如,对于描述在第一轴上的温度T1和T2以及在第二轴上的电压V1和V2之间延伸的三角形的PWL函数,其中在(T1,V1)、(T1,V2)和(T2,V1)处测得的频率分别由f1、f2和f3给出并且PWL的指数给出为i,则可求解以下方程组以便计算该区域中对应PWL函数的系数:
Figure GDA0002746661100000121
这可针对每个区域进行重复以确定其PWL特性,从而确定环形振荡器的操作表面。
一旦两个环形振荡器均已用一组PWL函数表征,那么求解一组非线性方程就被简化为求解一组PWL方程。对于每个PWL计算,要求解的方程可通常如下所述:
Figure GDA0002746661100000122
求解这两个方程得出温度T和电压V,结果如下:
Figure GDA0002746661100000123
如前所述,本文所讨论的计算可在MCC 105中执行,具体地为在服务处理器111中执行。这可允许每个传感器120在小区域中实现并限制其功率消耗,因为它不需要执行频率到电压和/或温度的任何转换。更一般地,用于测量频率的特征部可在每个传感器120内实现,而用于PWL计算、针对工艺变化的校准以及同样地针对精确度的校准的那些特征部可在MCC 105内实现。
每个环形振荡器的表面拟合(并因此其相应的PWL表示)可随每个工艺角而改变并且也可受到局部芯片上变化的影响。而且,由于诸如老化等的影响,给定的环形振荡器的精确度可能会降低。因此,用于此类环形振荡器的校准方案可更新每个环形振荡器的特性。这些特性可基于来自每个环形振荡器的有限的一组精确测量结果来更新。如果给定环形振荡器的初始(但不准确)模型为
fRO_precal=∑i,jαijTiVj (15),
则可执行一组实际测量来更新系数,使得该环形振荡器的更精确模型如下:
Figure GDA0002746661100000131
该校准因子在初始模型和一组校准点两者中。精确度随点数量的增加而相应地增大。相反,校准算法的效率可基于最小数量的数据点由后校准模型的精确度来确定。
在一个实施方案中,根据本公开的校准算法包括使用任何校准点处的误差信号的缩放值来更新系数。对于任何校准点,误差信号(e)可被定义为实际测量结果与由模型预测的值之间的差值。那就意味着
Figure GDA0002746661100000134
Figure GDA0002746661100000132
如果将初始模型(αij)中的系数合并成以A0给出的矢量,则可使用递归方法针对每个单个校准点更新矢量:
Ak=Ak-1+ekG (18)。
在一个实施方案中,可使用递归最小二乘(RLS)技术来确定G矢量。这可继而导致相对较快地收敛到基于有限的一组校准数据的最终期望值。在使用RLS技术时,G矢量在每个步骤期间递归地更新。RLS技术可利用环形振荡器的如下另选的特性:
Figure GDA0002746661100000133
其中U是(i+1)(j+1)项的矢量,即:
U=[TiVj Ti-1Vj ... T0V0] (20)。
由此,可形成对角矩阵:
Figure GDA0002746661100000141
对于任何校准点,可执行以下一组计算:
Figure GDA0002746661100000142
其中λ是遗忘因子,e是误差。
因此,使用诸如如上所述的递归最小二乘算法,可在校准规程期间更新表征环形振荡器的多项式的系数。此类校准可在不同的时间执行,诸如在系统启动时、在系统/IC的寿命期间的选定时间、响应于MCC 105中的参考传感器107和传感器120中大的变化等。因此,基于环形振荡器频率的电压和温度可在系统的使用寿命范围内以合理的精确度水平来确定,同时使得能够使用具有小占用面积的简单传感器。
图4是示出了利用两个环形振荡器的传感器的一个实施方案的框图。在所示的实施方案中,传感器140包括可具有相对于彼此不同的特性的环形振荡器141和142。在该特定实施方案中,环形振荡器141使用串联耦合的反相器来实现,而环形振荡器142使用串联耦合的与非门来实现。两个环形振荡器141和142均被耦合以接收相同的供电电压Vdd(局部),并且彼此非常接近地放置。然而,由于电路的实施方式不同,环形振荡器141和142可在相同的操作条件下以不同的频率振荡。根据以上讨论,这可使环形振荡器141和142产生的相应频率成为求解传感器140处的电压和温度的基础。
环形振荡器141和142分别耦合到计数器143和144。这些计数器可耦合到其相应耦合的环形振荡器中的一个或多个抽头点。在进行测量期间,计数器143和144可跟踪一个或多个计数值,这些计数值继而可指示由环形振荡器141和142产生的频率。在一些实施方案中,计数器143和144中的每一者可包括跟踪运行时间的相应定时器,以允许计数器在测量期间累计计数。提供耦合到两个计数器的单独计时器的其他实施方案是可能的且可设想的。
计数器143和144各自耦合到寄存器144。使用寄存器144,MCC 105(图1)可将信息输入到计数器中,并且也可从其接收信息。例如,指示计数器用于跟踪由相应耦合的环形振荡器的振荡产生的计数值的运行时间的信息可经由寄存器145从MCC 105输入到计数器中。开始指示也可通过寄存器145输入。寄存器145可从计数器143和144接收在实际测量期间产生的计数值。根据图1所示的实施方案,这些值可通过量测总线13串行移位到MCC 105,以用于计算电压和温度值。其中寄存器145直接耦合到MCC 105的实施方式的实施方案也是可能的且可设想的。
图5是示出了利用单个环形振荡器的传感器的一个实施方案的框图。使用这种类型的传感器,电压和温度可使用多感测技术来确定,其中使用单个环形振荡器进行测量,并在不同的输入电压下执行测量。在该特定实施方案中的环形振荡器151被耦合以接收偏置电压VBias和可选输入电压Vin。偏置电压可由用于产生此类电压的任何合适的电路产生,并且对于所有测量可基本相同。用于产生偏置电压的电路相对于传感器可以是局部的,或者可全局地产生然后分配给传感器中的每一者。输入电压Vin可以是通过选择电路155输入的电压中的任一者。下文参考图6进一步描述了用于一个实施方案的这些电压的产生。可由MCC 105来执行用于选择这些电压的选择信号的控制。
所示实施方案中的环形振荡器151经由一个或多个抽头点耦合到计数器152,并且可在操作时使计数器来回切换。因此,计数器152可跟踪计数值,并且在预先确定的时间段结束时可停止计数并将计数值提供给寄存器153。然后计数值可被转发到MCC 105用于电压和温度计算。类似地,计数器152可以经由寄存器153以类似于上文参考图4的实施方案所描述的方式从MCC 105接收信息。
环形振荡器151可通过多项式以类似于上文参考图3所描述的方式来表征。该技术可能涉及用于在多个电压和频率下进行多频率测量的表面拟合技术。也就是说,根据电压和温度,环形振荡器151的频率响应可按照以上方程(1)和(4)来表征。
为了执行电压和温度测量,可在通过选择器155提供的四个不同的输入电压处进行频率测量。可进行以下频率测量:
对于Vin=VGS1 f1=f0+KVCO(VGS1-V0) (23),
对于Vin=VGS2 f2=f0+KVCO(VGS2-V0) (24),
对于Vin=a1V f3=f0+KVCO(a1V-V0) (25),以及对于Vin=a2V f4=f0+KVCO(a2V-V0)(26)。
上述方程组假设选择a1V和a2V使其接近VGS1和VGS2,使得所有这些电压可使用环形振荡器的同一线性模型(即KVCO、V0和f0)。
从以上测量结果可推导出以下方程:
(f4-f3)/(f2-f1)=(a2-a1)V/(VGS1-VGS2) (27)。
在亚阈值区域中VTlnN,和VT可被重写为kT/q。因此,方程25可被重写为
(f4-f3)/(f2-f1)=(a2-a1)V/(kT/q)lnN (28)。
由此,环形振荡器的特性可被简化为:
fRO=Σβ ijTiTj (29)。
使用方程27,可求解温度T,并将其插回多项式特性中以求解电压V。
使用ATE进行校准时,可在两个温度和两个供电电压下确定频率。其他所测量的频率可使用线性插值。附加的频率测量可改善表面拟合。在操作过程中,可能会进行附加测量,其结果将用于替换插值,直到已替换所需的数量。这继而可提高正常操作期间所进行的测量的精确度。
图6包括在传感器120中并与其一起使用的电路元件的示意图。在所示的实施方案中,环形振荡器151包括多个串联耦合的反相器161。每个反相器161包括两个PMOS(p沟道金属氧化物半导体)晶体管和两个NMOS(n沟道金属氧化物半导体)晶体管的叠堆。PMOS叠堆包括P1和P2,NMOS叠堆包括N1和N2。在操作期间,P1的栅极端子接收上文参考图5所讨论的输入电压中的一者。类似地,N1在其相应的栅极端子上接收偏置电压VBias。P2和N2各自具有耦合到输入节点的相应栅极端子和耦合到输出节点的相应漏极端子。因此,P2和N2操作以执行实际的反相器功能。N1操作以设定反相器的特性,而P1操作以经由不同的Vin值改变反相器的特性。环形振荡器151的每个反相器161可以这种方式配置。在改变提供给每个反相器161的P1的输入电压时,可改变环形振荡器151的特性并因此改变由其输出的频率。这继而可允许执行校准和测量以确定由每个传感器检测到的温度和电压(即供电电压)。
所示实施方案中的参考电路162被配置为产生各种电压,这些电压可被提供给每个反相器161的P1作为Vin。该电路包括PMOS晶体管P3、P4和P5以及NMOS晶体管N3和N4。晶体管P3被耦合在Vdd(局部)和具有相对较大电阻值的电阻器R1之间。该电阻器设定P3、P4和P5的栅极电压。电压VGS1和VGS2分别取自P4和P5的漏极端子。P4与P5的相对大小为1:N,因此电压VGS1和VGS2是不同的(这也是方程26中‘N’项的来源)。R1使用较大的电阻值可确保流经N3和N4的电流非常小,因此器件在亚阈值区域中操作。这保证了VGS1和VGS2之间的差值与绝对温度(PTAT)电压成正比。其他两个电压a1V和a2V从梯形电阻器163上的抽头点产生。
参考电路162可以各种方式实现。在一个实施方案中,每个传感器可包括其专用的参考电路162。在另一个实施方案中,每个功能电路块可具有将这些电压提供给每个传感器的环形振荡器151的参考电路162。在又一个实施方案中,每个不同的电压供应(或电压轨)可与参考电路162相关联,该参考电路将各种电压分配给从相同源接收供电电压的每个环形振荡器151。
现在转向图7,其示出了比较器单元的一个实施方案的框图。在一个实施方案中,比较器单元160可被实现为硬连线电路。在另一个实施方案中,比较器单元160可使用电路和固件的组合来实现。在另一个实施方案中,比较器单元160可在例如服务处理器111中实现,该处理器具有处理电路和由此执行的软件指令。一般来讲,比较器单元可使用硬件、软件和/或固件的任何合适的组合来实现。
图7所示的实施方案包括Δ比较器167和三个比较器168。此处所示的比较器168的数量是示例性的,并且其他实施方案可实现更大或更少数量的该比较器。比较器168可用于将电压读数(以数字格式接收)与对应的阈值进行比较。此处所示的每个比较器168将所接收的电压与不同的阈值进行比较。例如,第一阈值可在供电电压的保护带内,但相对靠近其边缘。第二阈值可在保护带之外并且低于第一阈值。第三阈值可低于第二阈值。通过将所接收的电压值与这些阈值进行比较,可检测欠压(或电压下降)状况的存在及其严重性。
还提供电压读数用于进行斜率比较,以确定从一个时钟周期到下一个时钟周期的供电电压的变化的速率和方向。所示实施方案中的比较器单元160包括时钟存储元件164,该时钟存储元件被耦合以接收以数字格式提供的电压值。在每个时钟周期中,时钟存储元件可将接收到的电压值输出到斜率检测器165。斜率检测器165可存储至少两个最近接收的电压值并且在两者之间进行比较以确定电压的任何变化的量值和方向。例如,负斜率可指示电压正在下降,而正斜率可指示电压正在上升。将斜率提供给Δ比较器167,其可将斜率与斜率阈值进行比较。可将比较结果提供给计数器166,该计数器可对斜率低于阈值的循环数计数。计数器166还可将计数值与计数阈值进行比较。
应当指出的是,在一些实施方案中,可以实现Δ比较器168的多个实例,并且多个计数器可被实现并执行如上所述的相同功能。
可将比较器168和计数器166的输出提供给触发逻辑器169。在所示的实施方案中,触发逻辑器168可聚合各种比较的结果,并且确定是否要采取一个或多个电压校正动作以使电压达到指定的限值内。此外,触发逻辑器169还可确定使电压回到其指定的限值内所要采取的动作的一种或多种类型。所得输出可以是提供给PMU 115的数字代码,然后PMU 115可生成附加信号以使电压校正动作被执行。
为使电压回到指定范围内所执行的动作可根据各种比较结果而变化。例如,指示电压在其指定限值内但在一定量的时间内具有负斜率的比较结果可指示电压下降有可能或即将发生。因此,触发逻辑器169可响应于检测到这种状况而生成第一数字代码,指示由PMU 115采取的第一电压校正动作。例如,该代码可指示PMU 115降低时钟频率以阻止或最小化电压下降,将频率保持在降低的值,直到电压完全在指定限值内。
在另一种情况下,比较可指示电压显著地超出其保护带,并且斜率已为负达足够的时间以超出阈值。这继而可指示相对严重的电压下降。在这种情况下,触发逻辑器169可生成使PMU 115执行多个动作以校正该状况的代码。例如,PMU 115可生成信号以使电源或稳压器增加提供给对应功能电路的电流量,同时还执行向其提供的时钟信号的频率降低。
在第三示例中,比较结果可指示电压在其指定的保护带之外,而计数器指示斜率在超过计数阈值的时间内没有为负(或者另选地,另一个Δ比较器可指示斜率为正,表明电压正在上升)。这可能表明当前电压下降不太严重,或者如果先前已经采取了校正动作,则表明下降正在被解决。如果没有采取先前的动作,则触发逻辑器169可生成数字代码以使得PMU 115生成用于执行单个动作的信号,诸如调节对应的功能电路块或增加向其提供的电流量。另选地,如果先前存在电压下降状况并且已经生成用于执行多个动作的数字代码,则触发逻辑器169可在该情况下改变数字代码以停止执行其中的一个动作。
通常,触发逻辑器169可以多种不同的方式来配置,以指示要针对多种不同状况执行的电压校正动作。所述状况可基于比较的组合,包括电压与电压阈值的一个或多个比较,斜率与斜率阈值的一个或多个比较,以及斜率阈值已超过计数阈值的时间计数的一个或多个比较。
现在转向图8,其示出了PMU 115的一个实施方案。在所示的实施方案中,PMU 115包括被耦合以接收来自比较单元160的电压校正动作代码(即,数字代码)的解码单元175。解码单元175可执行解码操作以确定要执行的一个或多个动作的类型(如果有的话)。
如果电压校正动作涉及电源和/或稳压器,则解码单元可向电源控制单元171提供对应的电源控制信号。电源控制单元171可通过生成电源控制信号来响应,该电源控制信号使电源和/或稳压器执行一个或多个供电电压相关动作(例如,增加电流流动)。
如果接收到的电压校正动作代码指示要执行时钟控制动作,则解码单元175可生成提供给时钟控制单元173的时钟控制信号。时钟控制单元173可通过生成提供给时钟生成单元和/或一个或多个时钟门控电路的时钟控制信号来响应。所述动作可包括在时钟树的各个层级中的一个或多个时钟门控电路处抑制时钟信号,改变频率(在源处或通过操纵时钟门控电路),或在预定时间段内完全抑制提供给对应功能电路块的时钟信号。
现在转向图9,示出了用于确定数字欠压状况的存在和校正的方法的一个实施方案的流程图。可使用各种硬件/软件/固件实施方案诸如上文讨论的那些实施方案来执行方法900。此外,可由本文未明确论述的其他实施方案来执行方法900。
方法900始于接收和比较连续时钟周期中的电压读数(框905)。可使用环形振荡器来实现传感器,并且除了由对应多项式指示的其特征之外,还可基于每个环形振荡器输出的频率来计算感测的电压。可针对一个或多个电压阈值比较计算的电压值。
这可在实现执行该方法的装置的系统的操作期间进行。方法900还包括将电压变化的斜率与斜率阈值进行比较(框910)。这可指示电压是朝向还是远离其标称指定值。可恰好在比较之前确定斜率,并且斜率可具有量值和方向值。还可结合斜率比较进行可选的计数比较操作,以跟踪斜率相对于阈值已例如为负所经过的时间量。
可聚合每个比较的结果以确定是否要执行任何电压校正动作(框915)。方法900的该部分还可包括确定要执行的动作的一种或多种类型以便校正电压下降。如上所述,可执行多种不同的动作,并且这些动作可包括控制时钟信号和控制电源和/或稳压器的输出的各种组合。如果不采取电压校正动作(框920,否),则方法900返回到框905,在每个时钟周期中继续接收电压值并基于此进行比较。如果要执行电压校正动作(框920,是),则电力管理单元可通过电源控制和/或时钟控制来执行动作(框925)。
接下来转向图10,其示出了系统170的一个实施方案的框图。在例示的实施方案中,该系统170包括耦接至外部存储器158的集成电路10的至少一个实例。该集成电路10可包括耦接至外部存储器158的存储器控制器。该集成电路10耦接到一个或多个外围设备154、以及外部存储器158。还提供了向集成电路10供应供电电压并且向存储器158和/或外围设备154供应一个或多个供电电压的电源156。在一些实施方案中,可包括集成电路10的多于一个实例(也可包括多于一个外部存储器158)。
根据系统170的类型,外围设备154可包括任何期望的电路。例如,在一个实施方案中,系统170可以是移动设备(例如个人数字助理(PDA)、智能电话等),并且外围设备154可包括用于各种类型的无线通信的设备,诸如WiFi、蓝牙、蜂窝、全球定位系统等。外围设备154还可包括附加存储装置,该附加存储装置包括RAM存储装置、固态存储装置或磁盘存储装置。外围设备154可包括用户界面设备诸如显示屏,该用户界面设备包括触摸显示屏或多触摸显示屏、键盘或其他输入设备、麦克风、扬声器等。在其他实施方案中,系统170可以是任何类型的计算系统(例如,台式个人计算机、膝上型电脑、工作站、平板电脑等)。
外部存储器158可包括任何类型的存储器。例如,外部存储器158可以是SRAM、动态RAM(DRAM)(诸如同步DRAM(SDRAM))、双数据速率(DDR、DDR2、DDR3、LPDDR1、LPDDR2等)SDRAM、RAMBUS DRAM等。该外部存储器158可包括存储器设备被安装到的一个或多个存储器模块,诸如单列直插存储器模块(SIMM)、双列直插存储器模块(DIMM)等。
一旦充分理解了以上公开,很多变型和修改对于本领域的技术人员而言将变得显而易见。本发明旨在使以下权利要求书被解释为涵盖所有此类变型和修改。

Claims (16)

1.一种集成电路,包括:
传感器,所述传感器被配置为在连续的第一时钟周期和第二时钟周期的每一者中检测电压供应节点上存在的电压,
其中所述传感器包括相对于彼此具有不同特征的第一环形振荡器和第二环形振荡器,其中所述第一环形振荡器和所述第二环形振荡器中的每一者被耦合以向计算电路提供相应的频率信息,其中所述计算电路被配置为基于从所述第一环形振荡器和所述第二环形振荡器中的每一者接收到的所述频率信息来计算连续的所述第一时钟周期和所述第二时钟周期的每一者的所述电压;
比较电路,所述比较电路被配置为将在连续的所述第一时钟周期和所述第二时钟周期的每一者处检测到的所述电压与多个电压阈值中的每一者进行比较;
Δ比较器,所述Δ比较器被配置为将所述电压供应节点上存在的从所述第一时钟周期到所述第二时钟周期的所述电压的变化速率与一个或多个斜率阈值进行比较;以及
控制电路,所述控制电路被配置为基于由所述比较电路和所述Δ比较器执行的比较来确定是否要采取一个或多个电压调整动作,并且还被配置为响应于确定要采取的至少一个校正动作来执行所述一个或多个电压调整动作。
2.根据权利要求1所述的集成电路,其中所述比较电路包括:
第一比较器,所述第一比较器被配置为将在所述第一时钟周期和所述第二时钟周期中的一者处检测到的电压与第一电压阈值进行比较;以及
第二比较器,所述第二比较器被配置为将在所述第一时钟周期和所述第二时钟周期处检测到的所述电压与第二电压阈值进行比较,其中所述第二电压阈值不同于所述第一电压阈值。
3.根据权利要求1所述的集成电路,其中所述比较电路和所述Δ比较器被配置为在执行电压调整动作之后继续执行相应的比较。
4.根据权利要求3所述的集成电路,其中所述控制电路被配置为基于从所述比较电路和所述Δ比较器接收到的信息,响应于确定所述至少一个电压调整动作是成功的中断执行所述一个或多个电压调整动作中的至少一个。
5.根据权利要求1所述的集成电路,其中执行一个或多个电压调整动作包括执行以下中的一者或多者:
调节在其中实现所述传感器的功能电路块;
执行在其中实现所述传感器的所述功能电路块的自适应时钟;以及
增加供应给在其中实现所述传感器的所述功能电路块的电流量。
6.根据权利要求1所述的集成电路,其中所述控制电路被配置为响应于所述电压小于至少第一阈值并且所述变化速率超过第一斜率阈值来执行第一电压调整动作。
7.根据权利要求6所述的集成电路,其中所述控制电路被配置为响应于所述电压小于至少所述第一阈值并且所述变化速率小于所述第一斜率阈值来执行第二电压调整动作。
8.根据权利要求7所述的集成电路,其中所述控制电路被配置为响应于所述电压小于至少所述第一电压阈值并且所述变化速率小于第二斜率阈值来执行第三电压调整动作。
9.根据权利要求1所述的集成电路,其中所述第一环形振荡器和所述第二环形振荡器中的每一者通过相应多项式表征,其中所述计算电路被配置为通过使用所述第一环形振荡器和所述第二环形振荡器的操作频率和表征所述第一环形振荡器和所述第二环形振荡器中的每一者的所述相应多项式求解电压和温度来确定由所述传感器检测到的所述电压。
10.一种用于检测和校正欠压状况的方法,所述方法包括:
在连续的第一时钟周期和第二时钟周期中确定电压供应节点上的相应电压,其中所述电压由具有计算电路的传感器确定,所述计算电路被配置为基于相对于彼此具有不同特征的第一环形振荡器和第二环形振荡器的相应频率来计算所述电压;
将所述相应电压与多个电压阈值中的每一个进行比较;
基于在连续的所述第一时钟周期和所述第二时钟周期的每一者中在所述电压供应节点上检测到的所述电压来确定所述电压的变化速率;
将所述电压的所述变化速率与一个或多个斜率阈值进行比较;并且
基于将所述相应电压与多个电压阈值和所述一个或多个斜率阈值进行比较的结果,确定是否要由控制电路执行一个或多个电压调整动作。
11.根据权利要求10所述的方法,还包括:
第一比较器电路,所述第一比较器电路将在所述第一时钟周期和所述第二时钟周期中的一者处检测到的电压与第一电压阈值进行比较;以及
第二比较器电路,所述第二比较器电路将在所述第一时钟周期和所述第二时钟周期中的一者处检测到的所述电压与第二电压阈值进行比较,其中所述第二电压阈值不同于所述第一电压阈值。
12.根据权利要求10所述的方法,还包括:
基于将所述相应电压与多个电压阈值和所述一个或多个斜率阈值进行比较的结果来执行电压调整动作,其中电压调整动作包括执行动作以使存在于所述电压供应节点上的所述电压返回到指定范围内;
继续将所述相应电压与多个电压阈值中的每一者进行比较,并且基于在执行所述电压调整动作之后的连续的所述第一时钟周期和所述第二时钟周期的每一者中在所述电压供应节点上检测到的所述电压来确定所述电压的变化速率。
13.根据权利要求12所述的方法,还包括响应于所述电压供应节点上存在的所述电压已返回到所述指定范围内的指示,中断执行电压动作。
14.根据权利要求10所述的方法,其中执行所述电压调整动作包括执行以下中的一者或多者:
调节在其中实现所述传感器的功能电路块;
执行所述功能电路块的自适应时钟;以及
增加供应给所述功能电路块的电流量。
15.根据权利要求10所述的方法,还包括:
使用所述控制电路,响应于所述电压小于至少第一阈值并且所述变化速率超过第一斜率阈值来执行第一电压调整动作;
使用所述控制电路,响应于所述电压小于至少所述第一阈值并且所述变化速率小于所述第一斜率阈值来执行第二电压调整动作;并且
使用所述控制电路,响应于所述电压小于至少所述第一电压阈值并且所述变化速率小于第二斜率阈值来执行第三电压调整动作。
16.根据权利要求10所述的方法,其中所述第一环形振荡器和所述第二环形振荡器中的每一者通过相应多项式表征,其中所述方法还包括所述计算电路通过使用所述第一环形振荡器和所述第二环形振荡器的操作频率和表征所述第一环形振荡器和所述第二环形振荡器中的每一者的所述相应多项式求解电压和温度来确定由所述传感器检测到的所述电压。
CN201780007436.5A 2016-01-21 2017-01-11 数字欠压检测和控制的方法和装置 Active CN108475101B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/002,495 US9825620B2 (en) 2016-01-21 2016-01-21 Method and apparatus for digital undervoltage detection and control
US15/002,495 2016-01-21
PCT/US2017/013016 WO2017127269A1 (en) 2016-01-21 2017-01-11 Method and apparatus for digital undervoltage detection and control

Publications (2)

Publication Number Publication Date
CN108475101A CN108475101A (zh) 2018-08-31
CN108475101B true CN108475101B (zh) 2021-01-15

Family

ID=57915105

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780007436.5A Active CN108475101B (zh) 2016-01-21 2017-01-11 数字欠压检测和控制的方法和装置

Country Status (6)

Country Link
US (1) US9825620B2 (zh)
EP (1) EP3405850B1 (zh)
JP (1) JP6621929B2 (zh)
KR (1) KR102134527B1 (zh)
CN (1) CN108475101B (zh)
WO (1) WO2017127269A1 (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10437311B2 (en) 2016-09-06 2019-10-08 International Business Machines Corporation Mitigation of on-chip supply voltage noise by monitoring slope of supply voltage based on time-based sensors
US10261561B2 (en) * 2016-09-06 2019-04-16 International Business Machines Corporation Mitigation of on-chip supply voltage based on local and non-local (neighboring) cores' supply voltage information and decision
KR20180090495A (ko) * 2017-02-03 2018-08-13 삼성전자주식회사 반도체 장치의 테스트 방법 및 이를 수행하는 테스트 시스템
CN107063487B (zh) * 2017-06-13 2019-09-20 京东方科技集团股份有限公司 温度传感器、显示面板和显示装置
US11073884B2 (en) * 2017-11-15 2021-07-27 International Business Machines Corporation On-chip supply noise voltage reduction or mitigation using local detection loops
JP2019106053A (ja) * 2017-12-13 2019-06-27 ルネサスエレクトロニクス株式会社 半導体装置
US10627883B2 (en) * 2018-02-28 2020-04-21 Advanced Micro Devices, Inc. Onboard monitoring of voltage levels and droop events
US11531385B2 (en) 2018-09-17 2022-12-20 Samsung Electronics Co., Ltd. Voltage droop monitoring circuits, system-on chips and methods of operating the system-on chips
CN109388219A (zh) * 2018-09-28 2019-02-26 Tcl移动通信科技(宁波)有限公司 移动终端程序耗电检测方法、装置和计算机设备
KR20200046282A (ko) * 2018-10-24 2020-05-07 삼성전자주식회사 집적 회로 장치 및 고 대역폭 메모리 장치
US11616841B2 (en) * 2020-02-07 2023-03-28 Taiwan Semiconductor Manufacturing Company Limited Remote mapping of circuit speed variation due to process, voltage and temperature using a network of digital sensors
KR20210158223A (ko) * 2020-06-23 2021-12-30 에스케이하이닉스 주식회사 반도체 장치 및 이의 동작 방법
JP7391787B2 (ja) 2020-07-28 2023-12-05 ルネサスエレクトロニクス株式会社 半導体装置
US11953982B2 (en) 2022-07-19 2024-04-09 International Business Machines Corporation Dynamic guard band with timing protection and with performance protection
US11989071B2 (en) * 2022-07-19 2024-05-21 International Business Machines Corporation Dynamic guard band with timing protection and with performance protection

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007034540A1 (ja) * 2005-09-20 2007-03-29 Fujitsu Limited 電源電圧調整装置
CN101652928A (zh) * 2007-11-16 2010-02-17 日本电波工业株式会社 振荡频率控制电路
CN101884022A (zh) * 2007-09-14 2010-11-10 戈恩斯潘公司 降低基于模数控制电路的片上系统的功耗的方法及系统
CN102812373A (zh) * 2010-03-15 2012-12-05 国立大学法人九州工业大学 半导体装置、检测方法及程序
CN103166604A (zh) * 2013-01-29 2013-06-19 嘉兴联星微电子有限公司 一种低功耗片内时钟产生电路
JP2014106112A (ja) * 2012-11-27 2014-06-09 Fujitsu Ltd 電圧変動検出回路及び半導体集積回路
CN104067519A (zh) * 2011-11-21 2014-09-24 密克罗奇普技术公司 使用充电时间测量单元进行的对嵌入式微控制器振荡器的精确板上调谐
WO2015200310A1 (en) * 2014-06-25 2015-12-30 Advanced Micro Devices, Inc. Calibrating a power supply using power supply monitors

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6853259B2 (en) 2001-08-15 2005-02-08 Gallitzin Allegheny Llc Ring oscillator dynamic adjustments for auto calibration
US6809606B2 (en) 2002-05-02 2004-10-26 Intel Corporation Voltage ID based frequency control for clock generating circuit
US6882238B2 (en) 2003-03-21 2005-04-19 Intel Corporation Method and apparatus for detecting on-die voltage variations
US7459958B2 (en) * 2006-06-19 2008-12-02 International Business Machines Corporation Circuits to reduce threshold voltage tolerance and skew in multi-threshold voltage applications
DE102008006301B4 (de) * 2008-01-28 2017-06-08 Infineon Technologies Ag Schaltungsanordnung zum Detektieren von Spannungsänderungen und Verfahren zum Detektieren einer Spannungsänderung
US7937563B2 (en) 2008-05-27 2011-05-03 Advanced Micro Devices, Inc. Voltage droop mitigation through instruction issue throttling
US7915910B2 (en) * 2009-01-28 2011-03-29 Apple Inc. Dynamic voltage and frequency management
US8949666B2 (en) * 2009-02-12 2015-02-03 President And Fellows Of Harvard College Adaptive event-guided system and method for avoiding voltage emergencies
US8826048B2 (en) 2009-09-01 2014-09-02 Nvidia Corporation Regulating power within a shared budget
US8368385B2 (en) 2009-09-25 2013-02-05 Intel Corporation Methods and systems to detect voltage changes within integrated circuits
US9535473B2 (en) * 2009-10-30 2017-01-03 Apple Inc. Compensating for aging in integrated circuits
US8258861B2 (en) * 2010-01-08 2012-09-04 Analog Devices, Inc. Systems and methods for minimizing power consumption
US9483098B2 (en) * 2010-04-01 2016-11-01 Qualcomm Incorporated Circuits, systems and methods to detect and accommodate power supply voltage droop
US8510582B2 (en) * 2010-07-21 2013-08-13 Advanced Micro Devices, Inc. Managing current and power in a computing system
US8575993B2 (en) * 2011-08-17 2013-11-05 Broadcom Corporation Integrated circuit with pre-heating for reduced subthreshold leakage
US9448125B2 (en) 2011-11-01 2016-09-20 Nvidia Corporation Determining on-chip voltage and temperature
US9052528B2 (en) * 2013-02-28 2015-06-09 Johnson & Johnson Vision Care, Inc. Electronic ophthalmic lens with multi-input voting scheme
US9383407B2 (en) * 2013-10-16 2016-07-05 Apple Inc. Instantaneous IR drop measurement circuit
US9400308B2 (en) * 2014-07-03 2016-07-26 Qualcomm Incorporated Multi-domain heterogeneous process-voltage-temperature tracking for integrated circuit power reduction
US10060966B2 (en) * 2015-03-24 2018-08-28 Intel Corporation Method and apparatus for enhancing guardbands using “in-situ” silicon measurements

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007034540A1 (ja) * 2005-09-20 2007-03-29 Fujitsu Limited 電源電圧調整装置
CN101884022A (zh) * 2007-09-14 2010-11-10 戈恩斯潘公司 降低基于模数控制电路的片上系统的功耗的方法及系统
CN101652928A (zh) * 2007-11-16 2010-02-17 日本电波工业株式会社 振荡频率控制电路
CN102812373A (zh) * 2010-03-15 2012-12-05 国立大学法人九州工业大学 半导体装置、检测方法及程序
CN104067519A (zh) * 2011-11-21 2014-09-24 密克罗奇普技术公司 使用充电时间测量单元进行的对嵌入式微控制器振荡器的精确板上调谐
JP2014106112A (ja) * 2012-11-27 2014-06-09 Fujitsu Ltd 電圧変動検出回路及び半導体集積回路
CN103166604A (zh) * 2013-01-29 2013-06-19 嘉兴联星微电子有限公司 一种低功耗片内时钟产生电路
WO2015200310A1 (en) * 2014-06-25 2015-12-30 Advanced Micro Devices, Inc. Calibrating a power supply using power supply monitors

Also Published As

Publication number Publication date
WO2017127269A1 (en) 2017-07-27
US20170214399A1 (en) 2017-07-27
JP2019505997A (ja) 2019-02-28
EP3405850B1 (en) 2023-05-03
KR20180095891A (ko) 2018-08-28
JP6621929B2 (ja) 2019-12-18
KR102134527B1 (ko) 2020-07-15
CN108475101A (zh) 2018-08-31
EP3405850A1 (en) 2018-11-28
US9825620B2 (en) 2017-11-21

Similar Documents

Publication Publication Date Title
CN108475101B (zh) 数字欠压检测和控制的方法和装置
CN108474820B (zh) 用于计量系统的参考电路
CN108027414B (zh) 片上参数测量
JP5638110B2 (ja) 熱制御装置及び方法
US7714635B2 (en) Digital adaptive voltage supply
US20080186044A1 (en) Integrated circuit failure prediction
JP6703398B2 (ja) 半導体装置
JP2007024865A (ja) 半導体装置
CN110413032B (zh) 功率监测中的负载线补偿
KR20150027414A (ko) 온도에 따른 교정 기능을 가지는 온도 센서, 이의 동작 방법 및 상기 온도 센서를 포함하는 장치
US10197455B2 (en) Thermal oscillator
Chung et al. An all-digital voltage sensor for static voltage drop measurements
US10539971B2 (en) Adaptive voltage scaling circuitry
US11258447B2 (en) Integration of analog circuits inside digital blocks
KR20110047887A (ko) 온도검출회로

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant