CN108231547A - 半导体装置的形成方法 - Google Patents

半导体装置的形成方法 Download PDF

Info

Publication number
CN108231547A
CN108231547A CN201710367867.4A CN201710367867A CN108231547A CN 108231547 A CN108231547 A CN 108231547A CN 201710367867 A CN201710367867 A CN 201710367867A CN 108231547 A CN108231547 A CN 108231547A
Authority
CN
China
Prior art keywords
primer material
substrate
filaments
nonwoven fabric
subset
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710367867.4A
Other languages
English (en)
Other versions
CN108231547B (zh
Inventor
赖韦翰
王建惟
张庆裕
林进祥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN108231547A publication Critical patent/CN108231547A/zh
Application granted granted Critical
Publication of CN108231547B publication Critical patent/CN108231547B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02307Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Thin Film Transistor (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)

Abstract

提供改质基板的方法与材料组成。图案化基板以包含多个结构。结构包含第一子集,其具有一或多个实质上钝性的表面。在多种实施例中,沉积底漆材料于基板上、结构上、以及实质上钝性的表面上。举例来说,沉积的底漆材料至少键合至实质上钝性的表面。此外,沉积的底漆材料提供改质的基板表面。在沉积底漆材料后,旋转涂布层状物于改质的基板表面上,其中旋转涂布的层状物实质上平坦。

Description

半导体装置的形成方法
技术领域
本发明实施例关于半导体装置,更特别关于改质基板的方法与材料。
背景技术
电子产业对较小与较快的电子装置的需求增加,且电子装置同时提供大量的复杂功能。综上所述,半导体产业的持续趋势为制作低成本、高效能、与低能耗的集成电路。通过缩小半导体的集成电路尺寸(如最小结构尺寸)可达这些远程目标,进而改良产能与降低相关成本。然而缩小尺寸也会增加集成电路制程的复杂性。为了实现半导体集成电路与装置单元的持续进展,需要在半导体制程与技术上具有类似进展。
在一例中,缩小集成电路尺寸的方法可采用较薄的光阻膜与多层的硬掩模堆叠。举例来说,在部份的光微影制程中达到所需的解析度时,多层硬掩模可用以提供所需的深宽比。在多种例子中,多层硬掩模可包含碳底层如旋转涂布碳层,其上可沉积硅硬掩模如旋转涂布玻璃层。薄光层可沉积于硅硬掩模上,其可用以图案化硅硬掩模(比如以曝光、显影、与蚀刻等制程)。接着可采用图案化的硅硬掩模图案化下方的旋转涂布碳层(比如以蚀刻制程)。
然而在多种例子中,多层硬掩模(如旋转涂怖碳与旋转涂布玻璃)的沉积一致性,大幅取决于其沉积的基板的特性。此外,进阶的半导体制程技术在制程中采用多种制程与结构。如此一来,在半导体制程中的任一时点,基板表面可包含多种材料种类(如疏水、亲水、钝性)及/或复杂结构(如立体的鳍状场效晶体管结构等等),其具有不同的表面特性。如此一来,旋转涂布于多种特性的基板上的层状物(如旋转涂布碳与旋转涂布玻璃)具有低一致性与低平坦性。因此现有技术无法完全适用于所有方向。
发明内容
本发明一实施例提供的半导体装置的形成方法,包括:图案化基板,以包含多个结构,其中结构包含第一子集,其具有一或多个实质上钝性的表面;沉积底漆材料于基板上、些结构上、以及实质上钝性的表面上,其中沉积的底漆材料至少键合至实质上钝性的表面,且沉积的底漆材料提供改质的基板表面;以及在沉积底漆材料后,旋转涂布层状物于改质的基板表面上,其中旋转涂布的层状物实质上平坦。
附图说明
图1A一些实施例中,具有多个结构的基板,其表面具有多种特性。
图1B形成于多种结构上的层状物,且层状物具有低一致性与低平坦性。
图2多种实施例中,用以改质基板的方法200的流程图。
图3A一些实施例中,具有多个结构形成于基板上的装置的剖视图。
图3B一些实施例中,具有底漆材料沉积于多个结构上的装置的剖视图。
图3C一些实施例中,具有层状物沉积于多个结构上的装置的剖视图,且底漆材料位于层状物与多个结构之间。
图4A与4B一些实施例中,以底漆材料涂布基板与结构的第一沉积方法。
图5A、5B、5C、与5D一些实施例中,以底漆材料涂布基板与结构的第二沉积方法。
图6A与6B一些实施例中,以底漆材料涂布基板与结构的第三沉积方法。
图7一些实施例中,沉积于表面上的底漆材料的详图,且底漆材料包含多个单体或寡聚物。
图8一些实施例中,沉积于表面上的底漆材料的详图,且底漆材料包含聚合物。
图9A、9B、9C、9D、9E、9F、9G、9H、9I、9J、与9K多种实施例中,底漆材料与螯合官能基的结构。
【符号说明】
A、B、903、907、913、917、923、927、933、937、943、947、953 官能基
P1、P2 基团
t 厚度
t1 第一厚度
t2 第二厚度
100、300 装置
102、302 基板
104、304 结构
106、306 第一子集
108、308 第二子集
110、310第三子集
112、312 第四子集
122、322 碳的底层
124、324 表面
200、400、500、600 方法
202、204、206 步骤
320、702、802、900、905、910、915、920、925、930、935、940、945、950 底漆材料
321 基板表面
402、506 喷嘴
404 烘烤制程
504 第一烘烤制程
508 第二烘烤制程
704、804 层状物
706、806 金属原子
708、808 氮原子
810、902 聚合物主链
具体实施方式
下述内容提供的不同实施例或实例可实施本揭露的不同结构。特定构件与排列的实施例用以简化本揭露而非局限本揭露。举例来说,形成第一构件于第二构件上的叙述包含两者直接接触,或两者的间隔有其他额外构件而非直接接触。此外,本揭露的多种例子中可重复附图标记,但这些重复仅用以简化与清楚说明,不代表不同实施例及/或设置之间具有相同附图标记的单元之间具有相同的对应关系。
此外,空间性的相对用语如「下方」、「其下」、「较下方」、「上方」、「较上方」、或类似用语可用于简化说明某一元件与另一元件在附图中的相对关系。空间性的相对用语可延伸至以其他方向使用的元件,而非局限于附图方向。元件亦可转动90°或其他角度,因此方向性用语仅用以说明附图中的方向。
本发明关于基板的改质方法与材料组成,以有效克服现有方法的缺点。在现有方法中,旋转涂布于多种特性(如疏水性、亲水性、或钝性)的基板上的层状物(如旋转涂布碳或旋转涂布玻璃)具有低一致性与低平坦性。举例来说,此实施例提供的旋转涂布层如旋转涂布碳、旋转涂布玻璃、或类似物具有优异的平坦性,不论层状物沉积其上的基板表面的特性如何。在多种实施例中,可在沉积其他层如旋转涂布碳或旋转涂布玻璃层之前,先沉积底漆材料层使其他层具有优异的平坦性。如后续内容所详述,底漆层可让连续的基板表面具有实质上一致的特性,比如整个基板与多个结构的表面均具有相似的表面吸引力。如此一来,之后沉积的层状物(如旋转涂布碳或旋转涂布玻璃)具有优异的一致性与平坦性。此外,多种实施例中的底漆材料与相关方法可恢复不一致的沉积层状物所造成的性质损失,比如焦距深度、对比、与制程容忍度。用语「制程容忍度」指的是提供最后成像图案至光阻层中的焦距与曝光(强度)的范围,符合定义规格如现有技术节点、现有制程工具组、或类似规格。
如图1A与1B的例子所示,一些现有制程面临上述挑战。特别的是在图1A中,装置100包含的基板102具有多种结构104形成其上。在多种例子中,结构104可对应金属层、氧化物层、氮化物层、半导体层、或其他层状物,其可制作集成电路装置的构件。在一些例子中,结构104可与其他结构组合,以形成集成电路装置及/或电路的多种部份。在一些实施例中,结构104可包含本技术领域已知的多种结构,其可形成及/或沉积于基板102上。通过这些例子,结构104的第一子集106可包含亲水性的结构(比如具有低接触角如小于约90度)。举例来说,第一子集106可包含的结构其材料组成为氧化硅、氮化硅、氮氧化硅、或其他亲水结构。在一些例子中,结构104的第二子集108可包含疏水结构(比如具有高接触角如大于约90度)。举例来说,第二子集108可包含的结构其材料组成为氮化钛、氧化钛、氮氧化钛、碳氧化硅、或其他疏水结构。在一些例子中,结构104的第三子集110可包含实质上钝性的结构(比如具有少量的作用力)。举例来说,第三子集110可包含的结构其材料组成为金属、氮化钛、或其他实质上钝性的结构。在一些实施例中,结构104的第四子集112可包含复杂的结构如用于形成鳍状场效晶体管的鳍状结构。举例来说,一些例子中的第四子集112可包含亲水性结构、疏水性结构、与实质上钝性结构的多种组合。如此一来,结构104使基板102的表面具有多种特性。
如图1B所示,碳的底层122形成于基板102及结构104上,其形成方法可为旋转涂布。在一些例子中,碳的底层122为部份的多层硬掩模,其于部份的光微影制程达到所需的解析度时,可用以提供所需的深宽比。举例来说,碳的底层122可包含旋转涂布碳层,其上可沉积硅的硬掩模如旋转涂布玻璃层。在一些实施例中,薄的光阻层沉积于硅的硬掩模上,可用以图案化硅的硬掩模,且图案化方法可为曝光、显影、与蚀刻等制程。在一些例子中,之后可采用图案化的硅硬掩模,以图案化碳的底层122。然而如图1B所示,碳的底层122的表面124具有低一致性与低平坦性,其可能直接来自于结构104,即基板102具有多种表面特性。举例来说,一些例子的碳底层122在旋转涂布沉积时,可与结构104的第一子集106、第二子集108、第三子集110、与第四子集112的表面各自具有不同作用力,结果形成表面124。由于碳底层122不一致也不平坦,与碳底层122相关的光微影制程也受到影响。举例来说,由于碳底层122的不平形貌与低一致性,可能难以控制光学常数如折射率与消光系数、影响焦距深度、无法维持图案化的结构保真度、无法达到所需深宽比、以及产生其他问题。此外在一些例子中,沉积于碳底层122的上的层状物如旋转涂布玻璃层或光阻层,亦明显具有低一致性与低平坦性的问题。因此现有技术无法完全适用于所有方面。
相较于现有技术,本发明实施例提供一些优点。然而应理解其他实施例可提供不同优点,下述内容不必讨论所有的优点,且所有实施例均不需具有特定优点。举例来说,下述实施例包含材料组成,以及在沉积后续层状物如旋转涂布碳、旋转涂布玻璃、及/或光阻层之前,先改质具有多种表面特性(如疏水性、亲水性、钝性、或其他性质)的基板的方法。举例来说,下述实施例使旋转涂布的层状物具有优异的平坦性,不论沉积层状物于其上的基板表面的特性如何。在多种实施例中,可在沉积其他层如旋转涂布碳或旋转涂布玻璃层之前,先沉积底漆材料层使其他层具有优异的平坦性。在多种实施例中,底漆层可让连续的基板表面具有实质上一致的特性,比如整个基板与多个结构的表面均具有相似的表面吸引力。如此一来,之后沉积的层状物(如旋转涂布碳或旋转涂布玻璃)具有优异的一致性与平坦性。此外,多种实施例中的底漆材料与相关方法可回复不一致的沉积层状物所造成的性质损失,比如焦距深度、对比、与制程容忍度。此外,在本发明至少一些实施例中,可同时改善填隙问题(因为底漆材料与基板表面之间的强作用力)。用语「填隙问题」指的是栅极金属填充(在金属栅极置换制程中)、沟槽介电物填充、或其他种填充时面临的挑战。在一些现有制程中,材料(如金属或介电物等材料)填充不佳会造成间隙或孔洞形成于金属栅极、沟槽、或其他处中,这将负面地影响装置效能。本技术领域中具有通常知识者在阅读本发明后,应可明白其他实施例与优点。
此外,应注意本发明实施例的材料组成与方法不限于特定的基板种类、光掩模种类、光阻种类、射线源(如射线波长)、及/或光微影系统种类。举例来说,材料组成与方法可用于多种基板材料上的图案化结构及/或装置。基板材料可为硅、锗、碳化硅、硅锗、钻石、半导体化合物、或半导体合金,且基板可视情况(非必要)包含一或多个外延层、包含应力以提高效能、包含绝缘层上硅结构、及/或具有其他合适的改良结构。本发明实施例可进一步适用于采用反射式光掩模(如用于极紫外线微影)、穿透式光掩模、二元强度光掩模、相位偏移光掩模、或本技术领域已知的其他光掩模的制程。在一些例子中,下述实施例可用于采用多种光阻如聚甲基丙烯酸甲酯、SU-8、极紫外线光阻、正型光阻、负型光阻、或本技术领域已知的其他光阻的制程。此外,本发明实施例可用于多种微影系统/对准仪种类如接触对准仪、邻近对准仪、投影对准仪、或极紫外线微影系统。因此本发明实施例可进一步用于采用任何种类的射线源(射线波长)如紫外线、深紫外线、极紫外线、或本技术领域已知的其他射线源的系统。
如图2所示的例子中,多种实施例用以改质基板的方法200其流程图。应理解在方法200之前、之中、与之后可进行额外步骤,且额外实施例可置换、省略、调换方法200的一些步骤。值得注意的是方法200仅用以说明,而非局限本发明至后述申请专利范围未实际限制的部份。方法200将搭配图3A、3B、与3C说明如下。
方法200的步骤202使基板图案化,以包含具有多种(不同)特性的多个结构。如图3A所示的装置300的剖视图,在步骤202的一实施例中,装置300包含基板302,其具有多个结构304形成其上。在一些实施例中,基板302可与上述图1A的基板102实质上类似。如此一来,基板302同样可包含一或多种基板材料如硅、锗、碳化硅、硅锗、半导体化合物、或类似物、可包含一或多个外延层、可包含导电或绝缘层形成其上、及/或可包含多种改良结构如前述。如本技术领域所知,基板302亦可包含多种掺杂设置,端视设计需求而定。
此外在多种实施例中,图3A的结构304可与图1A的结构104实质上类似。因此在一些例子中,结构304同样可对应金属层、氧化物层、氮化物层、半导体层、或其他层,以制作集成电路装置及/或的构件。举例来说,一些实施例中的结构304其第一子集306可包含亲水性的结构(比如具有低接触角如小于约90度)。举例来说,第一子集306可包含的结构其材料组成为氧化硅、氮化硅、氮氧化硅、或其他亲水结构。因此亲水性结构可包含一或多个亲水性表面。在一些例子中,结构304的第二子集308可包含疏水结构(比如具有高接触角如大于约90度)。因此一些例子中的第二子集108可包含的结构其材料组成为氮化钛、氧化钛、氮氧化钛、碳氧化硅、或其他疏水结构。因此疏水性结构可包含一或多个疏水性表面。在一些例子中,结构304的第三子集310可包含实质上钝性的结构(比如具有少量的作用力)。因此一些例子中的第三子集110可包含的结构其材料组成为金属、氮化钛、或其他实质上钝性的结构。因此实质上钝性的结构可包含一或多个实质上钝性的表面。在一些实施例中,结构304的第四子集312可包含复杂的结构如用于形成鳍状场效晶体管的鳍状结构。因此一些例子中的第四子集112可包含亲水性结构、疏水性结构、与实质上钝性结构的多种组合,并具有亲水性表面、疏水性表面、与实质上钝性表面的多种组合。在一些实施例中,结构304的第一子集306、第二子集308、第三子集310、与第四子集312各自包含的材料组成通常可表示为化学式MXb,其中M为金属或硅,X为氮或氧,且b介于约0.4至约2.5之间。因此除了用于每一子集的上述材料之外,第一子集306、第二子集308、第三子集310、与第四子集312的一或多者可包含其他材料如氧化硅、氮化硅、氧化铝、氧化铪、氧化镧、或化学式MXb的其他材料。如此一来,结构304与结构104类似,其基板302的表面具有多种表面特性(比如不同的表面种类及/或不同的表面特性)。
方法200的步骤沉积底漆材料于多个结构上。如图3A与3B所示的一实施例中的步骤204,包含底漆材料320沉积于基板302及多个结构304上的装置300其剖视图。特别的是,底漆材料320可沉积于第一子集306、第二子集308、第三子集310、与第四子集312上。如此一来,在沉积底漆材料320之后,结构304可提供基板表面321所需的的一致的表面特性。如此一来,本发明实施例可让基板表面具有实质上一致的特性,并让后续沉积的层状物(如旋转涂布碳或旋转涂布玻璃)具有优异的一致性与平坦性。
在多种实施例中,底漆材料320可包含螯合材料如多齿配体,其将搭配图7、8、与9A至9K详述如下。在多种例子中,底漆材料320与基板302及/或结构304具有强作用力,因此结构304上涂布的底漆材料一致且稳定。在一些实施例中,底漆材料320的沉积方法可为旋转涂布制程、气相沉积、或另一合适的沉积方法。在至少一例中,底漆材料320可顺应性地沉积于装置300上。举例来说,一些例子中的底漆材料320至少可顺应性地沉积于装置300其表面轮廓(比如包含结构304与露出的基板302)。底漆材料320的沉积将搭配图4A、4B、5A、5B、5C、5D、6A、与6B详述于下。
方法200的步骤206形成层状物于底漆材料上。如图3B与3C所示的一实施例中的步骤206,包含碳的底层322形成于基板302及多个结构304上的装置300其剖视图。特别的是,碳的底层322形成于步骤204沉积的底漆材料320上并与其接触。在一些实施例中,碳的底层322实质上与上述图1B的碳的底层122实质上相同。如此一来,碳的底层322同样可为部份的多层硬掩模,其可用于部份的光微影制程。在一些实施例中,碳的底层322包含旋转涂布碳层,其上沉积有硅硬掩模如旋转涂布玻璃层。在一些例子中,薄光阻层沉积于硅硬掩模上,其可用于图案化硅硬掩模,且图案化方法可为曝光、显影、与蚀刻等制程。之后在一些例子中,图案化的硅硬掩模可用于图案化碳的底层322。与至少一些现有的制程(如图1B所示)相较,碳的底层322的表面324具有优异的一致性与优异的平坦性,其直接归因于在沉积碳的底层322之前,先沉积底漆材料320于结构304上,其中底漆材料320使基板322具有一致的表面特性。举例来说,在具有底漆材料320的多种实施例中的旋转涂布制程时,沉积的碳的底层322以及结构304的第一子集306、第二子集308、第三子集310、与第四子集312的表面之间具有类似作用力(如类似的吸引性作用力),结果形成表面324。如此一来,采用底漆材料320可回复因沉积层状物的表面特性不一致所造成的损失,比如焦距深度、对比、与制程容忍度。此外在至少一些实施例中,底漆材料320可同时改善填隙问题如前述。此外,当实施例包含碳的底层沉积于底漆材料上,应理解底漆材料可用于需改质基板的其他情况,以提供具有一致特性的基板表面。如此一来,一些实施例中的其他材料及/或层状物(除了碳的底层以外的其他层状物)可沉积于底漆材料上,此亦属本发明实施例的范畴。
在一实施例中,方法200的步骤204中底漆材料320的沉积方法如图4A、4B、5A、5B、5C、5D、6A、与6B所示。在图4A与4B中,方法400以底漆材料320涂布基板302与结构304。在此例中,沉积的方法400包含旋转涂布制程,其施加底漆材料320至基板302上,其中施加方法可经由图4A所示的喷嘴402,且可固定或以低速旋转基板302。在施加底漆材料320后高速旋转基板302,且旋转速率与时间取决于基板302上的底漆材料320最后所需的厚度t而定。在一些实施例中,之后可进行烘烤制程404(见图4B)以自旋转涂布的底漆材料320移除溶剂。在一些实施例中,方法400沉积的底漆材料320其厚度t小于10nm。
图5A、5B、5C、与5D所示的例子,以底漆材料320涂布基板302与结构304的另一沉积的方法500。在此例中,沉积的方法500亦包含旋转涂布制程,其施加底漆材料320至基板302上,其中施加方法可经由图4A所示的喷嘴402,且以高速旋转基板302。上述步骤形成于基板302上的底漆材料320具有第一厚度t1。之后在一些实施例中,可进行第一烘烤制程504(见图5B)以自旋转涂布的底漆材料320移除溶剂。在一些实施例中,方法400沉积的底漆材料320其第一厚度t1大于约10nm。此外在一些例子中,第一厚度t1可能过厚。因此在一些实施例中,可采用去离子水或溶剂进行冲洗制程,其施加水或适当溶剂(比如经由图5C所示的喷嘴506)至先前沉积于基板302上的底漆材料320上。在多种例子中,进行冲洗制程时可旋转或固定基板302。在此例中,底漆材料320至少可部份地溶解于水或溶剂中,因此冲洗制程将减少底漆材料320的厚度。举例来说,底漆材料320经冲洗后可具有第二厚度t2,且第二厚度小于第一厚度t1。在一些实施例中,第二厚度t2小于约10nm。在一些实施例中,之后可进行第二烘烤制程508(见图5D),以移除冲洗制程导入的水。在一些实施例中,沉积的方法500其冲洗制程除了薄化底漆材料外,亦有助于增加底漆材料320的一致性。在至少一些实施例中,需要薄化底漆材料320如上述,以简化之后移除底漆材料的步骤。
图6A与6B所示的例子,以底漆材料320涂布基板302与结构304的沉积的方法600。在此例中,沉积的方法600包含气相沉积制程,其施加气态的底漆材料320至基板302上,如图6A所示。在一些实施例中,气相沉积制程的制程参数可择以使基板302上的底漆材料320最后具有所需的厚度t。在一些例子中,在升温(高于室温)中进行气相沉积制程,因此在沉积底漆材料时,可同时自底漆材料320及/或基板302的表面移除溶剂及/或其他湿气(如水)。在一些实施例中,方法600沉积的底漆材料320其厚度t小于约10nm。
图7详述一实施例中,沉积于表面(如基板302的表面及/或结构304的表面)上的底漆材料(如底漆材料320)。特别的是,图7的底漆材料702接触并键合至层状物704。在一些例子中,底漆材料702可包含多个单体(或寡聚物)接触并键合至层状物704。在一些例子中,这些单体(或寡聚物)具有低分子量,比如重均分子量低于约800。在一些实施例中,层状物704包含部份的基板如基板302。在一些例子中,层状物704可包含结构304其部份的第一子集306、第二子集308、第三子集310、或第四子集312。如此一来,多种实施例中的层状物704可包含结构304的第一子集306、第二子集308、第三子集310、或第四子集312的一或多种材料及/或结构如前述,比如氧化硅、氮化硅、氮氧化硅、氮化钛、氧化钛、氮氧化钛、碳氧化硅、其他疏水材料、亲水材料、金属、复合鳍状结构、或上述的组合。仅为了说明目的,图7的层状物704可包括含金属层(如氮化钛),其具有一或多个金属原子706与一或多个氮原子708。一般而言,一些实施例中的层状物704可为实质上钝性的层状物,比如与涂布的材料具有低作用力。如此多种实施例中的底漆材料702可改质基板,比如将实质上钝性的表面改质为基板表面321,使其与后续沉积的涂布材料(如后续沉积的旋转涂布碳层)具有较大作用力。在至少一些实施例中,「改质基板」指的是在后续沉积涂布材料如旋转涂布碳层之前,先改质露出的表面型态(比如将钝性表面改质为吸引性表面)。
如前所述的多种实施例中,底漆材料702包含螯合材料如多齿配体。此处所述的「螯合材料」可用以描述与上述含金属材料及/或其他实质上钝性材料具有有效作用力及/或键合的材料(如底漆材料702),在图7的一些实施例中,基团P1与P2可各自包含C1-C20的饱合或不饱合的烃环。在一些例子中,基团P1与P2可各自包含C2-C20的杂环基,其包含链状、环状、或立体结构。此外在图7的多种实施例中,官能基A与B可键合至P1与P2。此外,多种实施例中的官能基A与B可包含螯合官能基(比如具有强螯合效应),因此官能基A与B各自与金属(如键合至螯合的官能基A的金属原子706)具有强作用力。在一些实施例中,螯合的官能基B键合至后续形成的层状物(如旋转涂布碳层)。在一些实施例中,官能基A与B包含相同的官能基。在其他实施例中,官能基A与B包含不同的官能基。举例来说,螯合的官能基A与B可各自包含CO、CN-、C6H5-、NO2、酚、胺、吡啶、二吡啶、OCCO、NH3、-OH、与COOH中至少一者。在一些实施例中,底漆材料702亦可包含酸活性基团、光酸产生剂、与溶解抑制剂中的一或多者。在一些实施例中,官能基A与B可经由适当活化而转换极性,且活化方式可为酸性条件、碱性条件、紫外线硬化条件、及/或热处理条件。特别的是在一些实施例中,极性转换后的官能基A与B可各自包含至少一螯合的官能基。在一例中,官能基A及/或B一开始在极性转换前可包含酯基,且在极性转换后可包含羧酸基与离去基。在另一例中,官能基A及/或B一开始在极性转换前可包含烷基酚,且在极性转换后可包含酚与离去基。在多种实施例中于沉积底漆材料702后,且在极性转换后的一些例子后,后续形成的层状物如旋转涂布碳层可形成于底漆层702上。如前所述,由于底漆材料702提供改质基板,后续形成的层状物可具有优异的一致性与平坦性。
图8一实施例中,沉积于表面(如基板302的表面及/或结构304的表面)上的底漆材料(如底漆材料320)的详图。特别的是,图8显示底漆材料802接触并键合至层状物804。在一些实施例中,层状物804可与上述图7的层状物704实质上相同。在一些例子中,底漆材料802可包含聚合物(如单一聚合物、多种聚合物的混掺、或单体与聚合物的混掺)接触并键合至层状物804。在一些例子中,聚合物具有高分子量,比如重均分子量大于约800。仅为了说明目的,图8的层状物804可包括含金属层(如氮化钛),其具有一或多个金属原子806与一或多个氮原子808。如上述的一实施例,底漆材料802可用于改质基板,比如将实质上钝性的表面改质为基板表面321,以与后续沉积的涂布材料(如后续沉积的旋转涂布碳层)具有较大的作用力。
在多种实施例中,底漆材料802包含螯合材料如多齿配体。在图8的一些实施例中,底漆材料802可包含聚合物主链810以键合至每一螯合官能基。在一些实施例中,聚合物主链810可包含丙烯酸甲酯、丙烯酸酯、聚乙烯、聚苯乙烯、上述的衍生物、或其他合适的聚合物主链。在一些实施例中,螯合的官能基A与B可与上述图7所述的螯合的官能基A与B实质上相同。举例来说,螯合的官能基A与B与金属(如键合至螯合的官能基A的金属原子806)具有强作用力。在一些实施例中,螯合的官能基B键合至后续形成的层状物(如旋转涂布碳层)。如上所述,官能基A与B包含相同或不同的官能基。在多种实施例中,底漆材料802的螯合的官能基A与B同样可各自包含CO、CN-、C6H5-、NO2、酚、胺、吡啶、二吡啶、OCCO、NH3、-OH、与COOH中至少一者。在一些实施例中,底漆材料802亦可包含酸活性基团、光酸产生剂、与溶解抑制剂中的一或多者。在一些实施例中,官能基A与B可各自经由适当活化而转换极性,且活化方式可为酸性条件、碱性条件、紫外线硬化条件、及/或热处理条件。特别的是在一些实施例中,极性转换后的官能基A与B可各自包含至少一螯合的官能基。在多种实施例中于沉积底漆材料802后,且在极性转换后的一些例子后,后续形成的层状物如旋转涂布碳层可形成于底漆层802上。如前所述,由于底漆材料802提供改质基板,后续形成的层状物可具有优异的一致性与平坦性。
图9A至9K多种实施例中,底漆材料与螯合的官能基的结构。特别的是,图9A的底漆材料900包含聚合物主链902(其可与聚合物主链810实质上相同)以及螯合的官能基903,其中螯合的官能基903包含酚与羧酸。在一些实施例中,底漆材料亦可包含氮的官能基。图9B所示的底漆材料905包含聚合物主链902与螯合的官能基907,其中螯合的官能基907包含酚与胺基。图9C所示的底漆材料910包含聚合物主链902与螯合的官能基913,其中螯合的官能基913包含吡啶与胺基。图9D所示的底漆材料915包含聚合物主链902与螯合的官能基917,其中螯合的官能基917包含酚与胺基。图9E所示的底漆材料920包含聚合物主链902与螯合的官能基923,其中螯合的官能基923包含吡啶与酚。图9F所示的底漆材料925包含聚合物主链902与螯合的官能基927,其中螯合的官能基927包含酰胺与酚。图9G所示的底漆材料930包含聚合物主链902与螯合的官能基933,其中螯合的官能基933包含酚与烷基酚。图9H所示的底漆材料935包含聚合物主链902与螯合的官能基937,其中螯合的官能基937包含羧酸。图9I所示的底漆材料940包含聚合物主链902与螯合的官能基943,其中螯合的官能基943包含酯与烷基酚。图9J所示的底漆材料945包含聚合物主链902与螯合的官能基947,其中螯合的官能基947包含NR2与COOH。图9K所示的底漆材料950包含聚合物主链902与螯合的官能基953,其中螯合的官能基953包含NR2与COOR。在一些包含基团R的实施例中,比如图9D、9F、9G、9H、与9I的例子中,基团R可断裂于暴露至酸性条件、碱性条件、紫外线硬化条件、及/或热处理条件。第9A至9K图提供底漆材料结构的一些例子,但应理解在未偏离本发明范畴的情况下,可采用其他底漆材料结构与其他官能基。
相较于现有技术,本发明实施例提供一些优点。然而应理解其他实施例可提供不同优点,上述内容不必讨论所有的优点,且所有实施例均不需具有特定优点。举例来说,实施例包含材料组成,以及在沉积后续层状物如旋转涂布碳、旋转涂布玻璃、及/或光阻层之前,先改质具有多种表面特性(如疏水性、亲水性、钝性、或其他性质)的基板的方法。举例来说,实施例使旋转涂布的层状物具有优异的平坦性,不论沉积层状物于其上的基板表面的特性如何。在多种实施例中,可在沉积其他层如旋转涂布碳或旋转涂布玻璃层之前,先沉积底漆材料层使其他层具有优异的平坦性。在多种实施例中,底漆层可让基板表面(比如沿着底漆层的露出的上表面)具有实质上一致的特性,比如整个基板与多个结构的表面均具有相似的表面吸引力。如此一来,之后沉积于底漆材料其露出上表面上的层状物(如旋转涂布碳或旋转涂布玻璃)具有优异的一致性与平坦性。此外,多种实施例中的底漆材料与相关方法可回复沉积的层状物的不一致表面特性所造成的性质损失,比如焦距深度、对比、与制程容忍度。此外,在本发明至少一些实施例中,由于底漆材料与基板表面之间的强作用力,因此底漆材料具有好的填隙效能,可同时改善填隙问题。本技术领域中具有通常知识者应理解,在未偏离本发明实施例范畴的情况下,上述方法可应用于多种其他半导体结构、半导体装置、与半导体制程,以利达到上述的类似优点。
因此本发明一实施例的方法包括半导体装置的形成方法,包括图案化一基板以包含多个结构。在一些实施例中,结构包含第一子集,其具有一或多个实质上钝性的表面。在多种实施例中,沉积底漆材料于基板上、结构上、以及实质上钝性的表面上。举例来说,沉积的底漆材料至少键合至实质上钝性的表面。此外,一些实施例中沉积的底漆材料提供改质的基板表面。在一些例子中,在沉积底漆材料后,旋转涂布层状物于改质的基板表面上。在多种实施例中,旋转涂布的层状物实质上平坦。
在一些实施例中,上述方法更包括图案化基板以包含结构,其中结构包含第二子集,其具有一或多个较亲水性的表面;以及沉积底漆材料于较亲水性的表面上,其中沉积的底漆材料键合至较亲水性的表面。
在一些实施例中,上述方法更包括图案化基板以包含结构,其中结构包含第三子集,其具有一或多个较疏水性的表面;以及沉积底漆材料于较疏水性的表面上,其中沉积的底漆材料键合至较疏水性的表面。
在一些实施例中,上述方法的结构的第一子集包括金属层、氮化物层、或上述的组合。
在一些实施例中,上述方法的底漆材料包括螯合的官能基,其具有CO、CN-、C6H5-、NO2、酚、胺、吡啶、二吡啶、OCCO、NH3、-OH、与COOH中至少一者。
在一些实施例中,上述方法的旋转涂布层包含旋转涂布碳层。
在一些实施例中,上述方法在沉积底漆材料之后以及在旋转涂布层状物于改质的基板表面上之前,更包括将底漆材料暴露至酸性条件、碱性条件、紫外线硬化条件、与热处理条件中至少一者以活化底漆材料。
在一些实施例中,上述方法的沉积的底漆材料包含键合至钝性表面的第一官能基,以及提供改质的基板表面的第二官能基。
在一些实施例中,上述方法在沉积底漆材料之后,更包括旋转涂布层状物于改质的基板表面上,其中旋转涂布的层状物键合至第二官能基。
在一些实施例中,上述方法的第一官能基与第二官能基相同。
在一些实施例中,上述方法的第一官能基与第二官能基不同。
在另一实施例中,方法包含图案化基板以包含多个结构,其第一子集具有第一表面,且第二子集具有第二表面。在一些实施例中,第一表面的第一表面特性不同于第二表面的第二表面特性。在多种例子中,螯合的材料沉积于基板以及结构的第一子集与第二子集上。在一些实施例中,沉积的螯合的材料至少键合至第一表面与第二表面,以提供涂有底漆的基板表面。在多种实施例中,在沉积螯合的材料之后,沉积旋转涂布碳层于涂有底漆的基板表面上,其中旋转涂布碳层实质上平坦。
在一些实施例中,上述方法更包括图案化基板以包含结构,结构包含第三子集,第三子集包含第三表面,且第三表面的第三表面特性不同于第一表面特性与第二表面特性;以及沉积螯合的材料于基板及结构的第三子集上,其中沉积的螯合的材料至少键合至第三表面以提供涂有底漆的基板表面。
在一些实施例中,上述方法的第一表面包括实质上钝性的表面,第二表面包括亲水性表面,且第三表面包括疏水性表面。
在一些实施例中,上述方法更包括图案化基板以包含第三子集,且第三子集具有第一表面与第二表面的组合。
在一些实施例中,上述螯合的材料包括官能基,其具有CO、CN-、C6H5-、NO2、酚、胺、吡啶、二吡啶、OCCO、NH3、-OH、与COOH中至少一者。
在其他实施例中,方法包括图案化一组结构于基板上,其中结构包含一或多个表面,其具有第一作用力。在一些实施例中,沉积底漆材料于结构上,且沉积的底漆材料至少键合至一或多个表面。此外,一些实施例中沉积的底漆材料的上表面提供改质的基板表面,其具有第二作用力,且第二作用力大于第一作用力。在一些例子中,在沉积底漆材料后,旋转涂布层状物于改质的基板表面上,其中旋转涂布的层状物键合至沉积的底漆材料的上表面。此外,旋转涂布的层状物实质上平坦。
在一些实施例中,上述方法更包括沉积底漆材料于结构上,其中沉积的底漆材料具有第一厚度;在沉积底漆材料之后以及在旋转涂布层状物于改质的基板表面上之前,薄化底漆材料,且薄化的底漆材料的第二厚度小于第一厚度。
在一些实施例中,结构的材料组成具有化学式MXb,其中M为金属或硅,X为氮或氧,且b介于约0.4至约2.5之间。
在一些实施例中,底漆材料具有螯合的官能基,其具有CO、CN-、C6H5-、NO2、酚、胺、吡啶、二吡啶、OCCO、NH3、-OH、与COOH中至少一者。
上述实施例的特征有利于本技术领域中具有通常知识者理解本揭露。本技术领域中具有通常知识者应理解可采用本揭露作基础,设计并变化其他制程与结构以完成上述实施例的相同目的及/或相同优点。本技术领域中具有通常知识者亦应理解,这些等效置换并未脱离本揭露精神与范畴,并可在未脱离本揭露的精神与范畴的前提下进行改变、替换、或更动。

Claims (1)

1.一种半导体装置的形成方法,包括:
图案化一基板,以包含多个结构,其中该些结构包含一第一子集,其具有一或多个实质上钝性的表面;
沉积一底漆材料于该基板上、该些结构上、以及该或该些实质上钝性的表面上,其中沉积的该底漆材料至少键合至该或该些实质上钝性的表面,且沉积的该底漆材料提供一改质的基板表面;以及
在沉积该底漆材料后,旋转涂布一层状物于该改质的基板表面上,其中旋转涂布的该层状物实质上平坦。
CN201710367867.4A 2016-12-15 2017-05-23 半导体装置的形成方法 Active CN108231547B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/380,911 2016-12-15
US15/380,911 US10163632B2 (en) 2016-12-15 2016-12-15 Material composition and process for substrate modification

Publications (2)

Publication Number Publication Date
CN108231547A true CN108231547A (zh) 2018-06-29
CN108231547B CN108231547B (zh) 2022-01-21

Family

ID=62562672

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710367867.4A Active CN108231547B (zh) 2016-12-15 2017-05-23 半导体装置的形成方法

Country Status (3)

Country Link
US (2) US10163632B2 (zh)
CN (1) CN108231547B (zh)
TW (1) TWI714766B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10163632B2 (en) * 2016-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and process for substrate modification
CN113035695A (zh) * 2021-02-25 2021-06-25 泉芯集成电路制造(济南)有限公司 一种掩膜结构的制备方法、半导体器件及其制备方法

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050017313A1 (en) * 2002-08-07 2005-01-27 Chang-Feng Wan System and method of fabricating micro cavities
TW200822227A (en) * 2006-08-30 2008-05-16 Ipgrip Llc Method and apparatus for workpiece surface modification for selective material deposition
CN101232952A (zh) * 2005-08-03 2008-07-30 肖特股份公司 包括至少一个全表面或部分表面宏观结构化的涂层的衬底及其制造方法和应用
CN101266403A (zh) * 2007-03-13 2008-09-17 台湾积体电路制造股份有限公司 疏水性表面的掩模
US20080233489A1 (en) * 2007-03-22 2008-09-25 Graciela Beatriz Blanchet Method to form a pattern of functional material on a substrate using a stamp having a surface modifying material
CN102412140A (zh) * 2010-09-17 2012-04-11 台湾积体电路制造股份有限公司 半导体平坦化中降低非均匀性
TW201218316A (en) * 2010-09-20 2012-05-01 Toshiba Kk Method for processing semiconductor structure and device based on the same
CN102906860A (zh) * 2010-05-28 2013-01-30 应用材料公司 平坦化蚀刻硬掩模以增加图案密度与纵横比
CN103515199A (zh) * 2012-06-15 2014-01-15 爱思开海力士有限公司 用于孔图案化的掩模图案和制造半导体器件的方法
US20140335455A1 (en) * 2010-10-04 2014-11-13 Rohm And Haas Electronic Materials Llc Underlayer composition and method of imaging underlayer composition
US20150187565A1 (en) * 2013-12-30 2015-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Gap Filling Materials and Methods
TW201536880A (zh) * 2013-12-23 2015-10-01 羅門哈斯電子材料有限公司 塡隙方法
CN105229530A (zh) * 2013-01-24 2016-01-06 康宁股份有限公司 使用自组装的聚合物纳米掩模的表面纳米制造方法
US20160343588A1 (en) * 2013-10-20 2016-11-24 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
CN108231548A (zh) * 2016-12-15 2018-06-29 台湾积体电路制造股份有限公司 半导体装置的制作方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7135402B2 (en) * 2005-02-01 2006-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing pores of low-k dielectrics using CxHy
US8518628B2 (en) 2006-09-22 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Surface switchable photoresist
US8580117B2 (en) 2007-03-20 2013-11-12 Taiwan Semiconductor Manufactuing Company, Ltd. System and method for replacing resist filter to reduce resist filter-induced wafer defects
US8216767B2 (en) 2009-09-08 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process and chemical amplified photoresist with a photodegradable base
US8877430B2 (en) * 2010-08-05 2014-11-04 Brewer Science Inc. Methods of producing structures using a developer-soluble layer with multilayer technology
US8765573B2 (en) * 2010-09-20 2014-07-01 Applied Materials, Inc. Air gap formation
US8323870B2 (en) 2010-11-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and photoresist with zipper mechanism
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
US8741551B2 (en) 2012-04-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and composition of a dual sensitive resist
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9851636B2 (en) 2012-07-05 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Materials and methods for improved photoresist performance
US20140017615A1 (en) 2012-07-11 2014-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for resist coating and developing
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US8936903B2 (en) 2013-03-09 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photo-resist with floating acid
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US8932799B2 (en) 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US10177001B2 (en) * 2016-05-31 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Surface modifying material for semiconductor device fabrication
US10163632B2 (en) * 2016-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and process for substrate modification
US10163648B2 (en) * 2017-05-19 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of semiconductor device fabrication having application of material with cross-linkable component

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050017313A1 (en) * 2002-08-07 2005-01-27 Chang-Feng Wan System and method of fabricating micro cavities
CN101232952A (zh) * 2005-08-03 2008-07-30 肖特股份公司 包括至少一个全表面或部分表面宏观结构化的涂层的衬底及其制造方法和应用
TW200822227A (en) * 2006-08-30 2008-05-16 Ipgrip Llc Method and apparatus for workpiece surface modification for selective material deposition
CN101266403A (zh) * 2007-03-13 2008-09-17 台湾积体电路制造股份有限公司 疏水性表面的掩模
US20080233489A1 (en) * 2007-03-22 2008-09-25 Graciela Beatriz Blanchet Method to form a pattern of functional material on a substrate using a stamp having a surface modifying material
CN102906860A (zh) * 2010-05-28 2013-01-30 应用材料公司 平坦化蚀刻硬掩模以增加图案密度与纵横比
CN102412140A (zh) * 2010-09-17 2012-04-11 台湾积体电路制造股份有限公司 半导体平坦化中降低非均匀性
TW201218316A (en) * 2010-09-20 2012-05-01 Toshiba Kk Method for processing semiconductor structure and device based on the same
US20140335455A1 (en) * 2010-10-04 2014-11-13 Rohm And Haas Electronic Materials Llc Underlayer composition and method of imaging underlayer composition
CN103515199A (zh) * 2012-06-15 2014-01-15 爱思开海力士有限公司 用于孔图案化的掩模图案和制造半导体器件的方法
CN105229530A (zh) * 2013-01-24 2016-01-06 康宁股份有限公司 使用自组装的聚合物纳米掩模的表面纳米制造方法
US20160343588A1 (en) * 2013-10-20 2016-11-24 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
TW201536880A (zh) * 2013-12-23 2015-10-01 羅門哈斯電子材料有限公司 塡隙方法
US20150187565A1 (en) * 2013-12-30 2015-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Gap Filling Materials and Methods
CN108231548A (zh) * 2016-12-15 2018-06-29 台湾积体电路制造股份有限公司 半导体装置的制作方法

Also Published As

Publication number Publication date
TWI714766B (zh) 2021-01-01
US10163632B2 (en) 2018-12-25
US20190206680A1 (en) 2019-07-04
TW201824339A (zh) 2018-07-01
US10802402B2 (en) 2020-10-13
US20180174837A1 (en) 2018-06-21
CN108231547B (zh) 2022-01-21

Similar Documents

Publication Publication Date Title
US10538859B2 (en) Methods for providing patterned orientation templates for self-assemblable polymers for use in device lithography
KR101350072B1 (ko) 서브 리소그래픽 패터닝을 위해 블록 공중합체 자기 조립을 사용하는 방법
US9182673B2 (en) Method for providing a template for a self-assemblable polymer for use in device lithography
JP5290204B2 (ja) 微細パターンマスクおよびその製造方法、ならびにそれを用いた微細パターンの形成方法
TWI534072B (zh) 表面具備奈米構造體之基板的製造方法
TW201011812A (en) A lithography method
US9557640B2 (en) Ordering block copolymers
JP5993654B2 (ja) ブロックコポリマーを含む層のパターン形成方法、及び下地剤
JP6810782B2 (ja) 誘導自己集合体施与のためのケイ素含有ブロックコポリマー
TW201044439A (en) Method for reducing tip-to-tip spacing between lines
EP3500637B1 (en) Polymer compositions for self-assembly applications
JP2014063884A (ja) パターン形成方法
US9513553B2 (en) Methods of providing patterned epitaxy templates for self-assemblable block copolymers for use in device lithography
US9229324B2 (en) Methods of providing patterned templates for self-assemblable block copolymers for use in device lithography
CN108231547A (zh) 半导体装置的形成方法
US9235125B2 (en) Methods of providing patterned chemical epitaxy templates for self-assemblable block copolymers for use in device lithography
US7018748B2 (en) Process for producing hard masks
JP7135554B2 (ja) 下層膜形成用組成物、自己組織化膜の下層膜及びその形成方法並びに自己組織化リソグラフィープロセス
JPWO2018008734A1 (ja) 膜形成用組成物、膜形成方法及び自己組織化リソグラフィープロセス
WO2023127671A1 (ja) 積層体の製造方法、基板の製造方法、および積層体
JP7241520B2 (ja) 相分離構造形成用樹脂組成物及び相分離構造を含む構造体の製造方法
TW202336821A (zh) 於半導體圖案化中之化學選擇性黏著及強度促進劑
CN115868012A (zh) 形成窄槽接触部的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant