TW201824339A - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TW201824339A
TW201824339A TW106114941A TW106114941A TW201824339A TW 201824339 A TW201824339 A TW 201824339A TW 106114941 A TW106114941 A TW 106114941A TW 106114941 A TW106114941 A TW 106114941A TW 201824339 A TW201824339 A TW 201824339A
Authority
TW
Taiwan
Prior art keywords
layer
substrate
primer material
spin
deposited
Prior art date
Application number
TW106114941A
Other languages
English (en)
Other versions
TWI714766B (zh
Inventor
賴韋翰
王建惟
張慶裕
林進祥
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201824339A publication Critical patent/TW201824339A/zh
Application granted granted Critical
Publication of TWI714766B publication Critical patent/TWI714766B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02307Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Thin Film Transistor (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)

Abstract

本發明實施例提供改質基板的方法與材料組成。圖案化基板以包含多個結構。結構包含第一子集,其具有一或多個實質上鈍性的表面。在多種實施例中,沉積底漆材料於基板上、結構上、以及實質上鈍性的表面上。舉例來說,沉積的底漆材料至少鍵結至實質上鈍性的表面。此外,沉積的底漆材料提供改質的基板表面。在沉積底漆材料後,旋轉塗佈層狀物於改質的基板表面上,其中旋轉塗佈的層狀物實質上平坦。

Description

半導體裝置的形成方法
本發明實施例關於半導體裝置,更特別關於改質基板的方法與材料。
電子產業對較小與較快的電子裝置的需求增加,且電子裝置同時提供大量的複雜功能。綜上所述,半導體產業的持續趨勢為製作低成本、高效能、與低能耗的積體電路。藉由縮小半導體的積體電路尺寸(如最小結構尺寸)可達這些遠程目標,進而改良產能與降低相關成本。然而縮小尺寸也會增加積體電路製程的複雜性。為了實現半導體積體電路與裝置單元的持續進展,需要在半導體製程與技術上具有類似進展。
在一例中,縮小積體電路尺寸的方法可採用較薄的光阻膜與多層的硬遮罩堆疊。舉例來說,在部份的光微影製程中達到所需的解析度時,多層硬遮罩可用以提供所需的深寬比。在多種例子中,多層硬遮罩可包含碳底層如旋轉塗佈碳層,其上可沉積矽硬遮罩如旋轉塗佈玻璃層。薄光層層可沉積於矽硬遮罩上,其可用以圖案化矽硬遮罩(比如以曝光、顯影、與蝕刻等製程)。接著可採用圖案化的矽硬遮罩圖案化下方的旋轉塗佈碳層(比如以蝕刻製程)。
然而在多種例子中,多層硬遮罩(如旋轉塗怖碳與旋轉塗佈玻璃)的沉積一致性,大幅取決於其沉積之基板的特 性。此外,進階的半導體製程技術在製程中採用多種製程與結構。如此一來,在半導體製程中的任一時點,基板表面可包含多種材料種類(如疏水、親水、鈍性)及/或複雜結構(如立體的鰭狀場效電晶體結構等等),其具有不同的表面特性。如此一來,旋轉塗佈於多種特性之基板上的層狀物(如旋轉塗佈碳與旋轉塗佈玻璃)具有低一致性與低平坦性。因此現有技術無法完全適用於所有方向。
本發明一實施例提供之半導體裝置的形成方法,包括:圖案化基板,以包含多個結構,其中結構包含第一子集,其具有一或多個實質上鈍性的表面;沉積底漆材料於基板上、些結構上、以及實質上鈍性的表面上,其中沉積的底漆材料至少鍵結至實質上鈍性的表面,且沉積的底漆材料提供改質的基板表面;以及在沉積底漆材料後,旋轉塗佈層狀物於改質的基板表面上,其中旋轉塗佈的層狀物實質上平坦。
A、B、903、907、913、917、923、927、933、937、943、947、953‧‧‧官能基
P1、P2‧‧‧基團
t‧‧‧厚度
t1‧‧‧第一厚度
t2‧‧‧第二厚度
100、300‧‧‧裝置
102、302‧‧‧基板
104、304‧‧‧結構
106、306‧‧‧第一子集
108、308‧‧‧第二子集
110、310‧‧‧第三子集
112、312‧‧‧第四子集
122、322‧‧‧碳的底層
124、324‧‧‧表面
200、400、500、600‧‧‧方法
202、204、206‧‧‧步驟
320、702、802、900、905、910、915、920、925、930、935、940、945、950‧‧‧底漆材料
321‧‧‧基板表面
402、506‧‧‧噴嘴
404‧‧‧烘烤製程
504‧‧‧第一烘烤製程
508‧‧‧第二烘烤製程
704、804‧‧‧層狀物
706、806‧‧‧金屬原子
708、808‧‧‧氮原子
810、902‧‧‧聚合物主鏈
第1A圖係一些實施例中,具有多個結構的基板,其表面具有多種特性。
第1B圖係形成於多種結構上的層狀物,且層狀物具有低一致性與低平坦性。
第2圖係多種實施例中,用以改質基板的方法200之流程圖。
第3A圖係一些實施例中,具有多個結構形成於基板上的裝 置之剖視圖。
第3B圖係一些實施例中,具有底漆材料沉積於多個結構上的裝置之剖視圖。
第3C圖係一些實施例中,具有層狀物沉積於多個結構上的裝置之剖視圖,且底漆材料位於層狀物與多個結構之間。
第4A與4B圖係一些實施例中,以底漆材料塗佈基板與結構的第一沉積方法。
第5A、5B、5C、與5D圖係一些實施例中,以底漆材料塗佈基板與結構的第二沉積方法。
第6A與6B圖係一些實施例中,以底漆材料塗佈基板與結構的第三沉積方法。
第7圖係一些實施例中,沉積於表面上的底漆材料之詳圖,且底漆材料包含多個單體或寡聚物。
第8圖係一些實施例中,沉積於表面上的底漆材料之詳圖,且底漆材料包含聚合物。
第9A、9B、9C、9D、9E、9F、9G、9H、9I、9J、與9K圖係多種實施例中,底漆材料與螯合官能基的結構。
下述內容提供的不同實施例或實例可實施本揭露的不同結構。特定構件與排列的實施例係用以簡化本揭露而非侷限本揭露。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本揭露之多種例子中可重複標號,但這些重複僅用以簡化與清楚說明,不代表不同實施例及/或設置之間具有相 同標號之單元之間具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
本發明關於基板的改質方法與材料組成,以有效克服現有方法的缺點。在現有方法中,旋轉塗佈於多種特性(如疏水性、親水性、或鈍性)之基板上的層狀物(如旋轉塗佈碳或旋轉塗佈玻璃)具有低一致性與低平坦性。舉例來說,此實施例提供之旋轉塗佈層如旋轉塗佈碳、旋轉塗佈玻璃、或類似物具有優異的平坦性,不論層狀物沉積其上的基板表面之特性如何。在多種實施例中,可在沉積其他層如旋轉塗佈碳或旋轉塗佈玻璃層之前,先沉積底漆材料層使其他層具有優異的平坦性。如後續內容所詳述,底漆層可讓連續的基板表面具有實質上一致的特性,比如整個基板與多個結構的表面均具有相似的表面吸引力。如此一來,之後沉積的層狀物(如旋轉塗佈碳或旋轉塗佈玻璃)具有優異的一致性與平坦性。此外,多種實施例中的底漆材料與相關方法可恢復不一致之沉積層狀物所造成的性質損失,比如焦距深度、對比、與製程容忍度。用語「製程容忍度」指的是提供最後成像圖案至光阻層中的焦距與曝光(強度)的範圍,符合定義規格如現有技術節點、現有製程工具組、或類似規格。
如第1與1B圖的例子所示,一些現有製程面臨上述挑戰。特別的是在第1A圖中,裝置100包含的基板102具有多種結構104形成其上。在多種例子中,結構104可對應金屬層、氧化物層、氮化物層、半導體層、或其他層狀物,其可製作積體電路裝置的構件。在一些例子中,結構104可與其他結構組合,以形成積體電路裝置及/或電路的多種部份。在一些實施例中,結構104可包含本技術領域已知的多種結構,其可形成及/或沉積於基板102上。藉由這些例子,結構104的第一子集106可包含親水性的結構(比如具有低接觸角如小於約90度)。舉例來說,第一子集106可包含的結構其材料組成為氧化矽、氮化矽、氮氧化矽、或其他親水結構。在一些例子中,結構104的第二子集108可包含疏水結構(比如具有高接觸角如大於約90度)。舉例來說,第二子集108可包含的結構其材料組成為氮化鈦、氧化鈦、氮氧化鈦、碳氧化矽、或其他疏水結構。在一些例子中,結構104的第三子集110可包含實質上鈍性的結構(比如具有少量的作用力)。舉例來說,第三子集110可包含的結構其材料組成為金屬、氮化鈦、或其他實質上鈍性的結構。在一些實施例中,結構104的第四子集112可包含複雜的結構如用於形成鰭狀場效電晶體的鰭狀結構。舉例來說,一些例子中的第四子集112可包含親水性結構、疏水性結構、與實質上鈍性結構的多種組合。如此一來,結構104使基板102的表面具有多種特性。
如第1B圖所示,碳的底層122形成於基板102及結構104上,其形成方法可為旋轉塗佈。在一些例子中,碳的底 層122為部份的多層硬遮罩,其於部份的光微影製程達到所需的解析度時,可用以提供所需的深寬比。舉例來說,碳的底層122可包含旋轉塗佈碳層,其上可沉積矽的硬遮罩如旋轉塗佈玻璃層。在一些實施例中,薄的光阻層沉積於矽的硬遮罩上,可用以圖案化矽的硬遮罩,且圖案化方法可為曝光、顯影、與蝕刻等製程。在一些例子中,之後可採用圖案化的矽硬遮罩,以圖案化碳的底層122。然而如第1B圖所示,碳的底層122之表面124具有低一致性與低平坦性,其可能直接來自於結構104,即基板102具有多種表面特性。舉例來說,一些例子的碳底層122在旋轉塗佈沉積時,可與結構104的第一子集106、第二子集108、第三子集110、與第四子集112的表面各自具有不同作用力,結果形成表面124。由於碳底層122不一致也不平坦,與碳底層122相關的光微影製程也受到影響。舉例來說,由於碳底層122的不平形貌與低一致性,可能難以控制光學常數如折射率與消光係數、影響焦距深度、無法維持圖案化的結構保真度、無法達到所需深寬比、以及產生其他問題。此外在一些例子中,沉積於碳底層122的上的層狀物如旋轉塗佈玻璃層或光阻層,亦明顯具有低一致性與低平坦性的問題。因此現有技術無法完全適用於所有方面。
相較於現有技術,本發明實施例提供一些優點。然而應理解其他實施例可提供不同優點,下述內容不必討論所有的優點,且所有實施例均不需具有特定優點。舉例來說,下述實施例包含材料組成,以及在沉積後續層狀物如旋轉塗佈碳、旋轉塗佈玻璃、及/或光阻層之前,先改質具有多種表面 特性(如疏水性、親水性、鈍性、或其他性質)之基板的方法。舉例來說,下述實施例使旋轉塗佈的層狀物具有優異的平坦性,不論沉積層狀物於其上的基板表面之特性如何。在多種實施例中,可在沉積其他層如旋轉塗佈碳或旋轉塗佈玻璃層之前,先沉積底漆材料層使其他層具有優異的平坦性。在多種實施例中,底漆層可讓連續的基板表面具有實質上一致的特性,比如整個基板與多個結構的表面均具有相似的表面吸引力。如此一來,之後沉積的層狀物(如旋轉塗佈碳或旋轉塗佈玻璃)具有優異的一致性與平坦性。此外,多種實施例中的底漆材料與相關方法可回復不一致之沉積層狀物所造成的性質損失,比如焦距深度、對比、與製程容忍度。此外,在本發明至少一些實施例中,可同時改善填隙問題(因為底漆材料與基板表面之間的強作用力)。用語「填隙問題」指的是閘極金屬填充(在金屬閘極置換製程中)、溝槽介電物填充、或其他種填充時面臨的挑戰。在一些現有製程中,材料(如金屬或介電物等材料)填充不佳會造成間隙或孔洞形成於金屬閘極、溝槽、或其他處中,這將負面地影響裝置效能。本技術領域中具有通常知識者在閱讀本發明後,應可明白其他實施例與優點。
此外,應注意本發明實施例之材料組成與方法不限於特定的基板種類、光罩種類、光阻種類、射線源(如射線波長)、及/或光微影系統種類。舉例來說,材料組成與方法可用於多種基板材料上的圖案化結構及/或裝置。基板材料可為矽、鍺、碳化矽、矽鍺、鑽石、半導體化合物、或半導體合金,且基板可視情況(非必要)包含一或多個磊晶層、包含應力以提 高效能、包含絕緣層上矽結構、及/或具有其他合適的改良結構。本發明實施例可進一步適用於採用反射式光罩(如用於極紫外線微影)、穿透式光罩、二元強度光罩、相位偏移光罩、或本技術領域已知的其他光罩之製程。在一些例子中,下述實施例可用於採用多種光阻如聚甲基丙烯酸甲酯、SU-8、極紫外線光阻、正型光阻、負型光阻、或本技術領域已知的其他光阻之製程。此外,本發明實施例可用於多種微影系統/對準儀種類如接觸對準儀、鄰近對準儀、投影對準儀、或極紫外線微影系統。因此本發明實施例可進一步用於採用任何種類之射線源(射線波長)如紫外線、深紫外線、極紫外線、或本技術領域已知的其他射線源之系統。
如第2圖所示的例子中,係多種實施例用以改質基板的方法200其流程圖。應理解在方法200之前、之中、與之後可進行額外步驟,且額外實施例可置換、省略、調換方法200的一些步驟。值得注意的是方法200僅用以說明,而非侷限本發明至後述申請專利範圍未實際限制的部份。方法200將搭配第3A、3B、與3C圖說明如下。
方法200之步驟202使基板圖案化,以包含具有多種(不同)特性的多個結構。如第3A圖所示的裝置300之剖視圖,在步驟202的一實施例中,裝置300包含基板302,其具有多個結構304形成其上。在一些實施例中,基板302可與上述第1A圖的基板102實質上類似。如此一來,基板302同樣可包含一或多種基板材料如矽、鍺、碳化矽、矽鍺、半導體化合物、或類似物、可包含一或多個磊晶層、可包含導電或絕緣層形成其 上、及/或可包含多種改良結構如前述。如本技術領域所知,基板302亦可包含多種摻雜設置,端視設計需求而定。
此外在多種實施例中,第3A圖的結構304可與第1A圖的結構104實質上類似。因此在一些例子中,結構304同樣可對應金屬層、氧化物層、氮化物層、半導體層、或其他層,以製作積體電路裝置及/或的構件。舉例來說,一些實施例中的結構304其第一子集306可包含親水性的結構(比如具有低接觸角如小於約90度)。舉例來說,第一子集306可包含的結構其材料組成為氧化矽、氮化矽、氮氧化矽、或其他親水結構。因此親水性結構可包含一或多個親水性表面。在一些例子中,結構304的第二子集308可包含疏水結構(比如具有高接觸角如大於約90度)。因此一些例子中的第二子集108可包含的結構其材料組成為氮化鈦、氧化鈦、氮氧化鈦、碳氧化矽、或其他疏水結構。因此疏水性結構可包含一或多個疏水性表面。在一些例子中,結構304的第三子集310可包含實質上鈍性的結構(比如具有少量的作用力)。因此一些例子中的第三子集110可包含的結構其材料組成為金屬、氮化鈦、或其他實質上鈍性的結構。因此實質上鈍性的結構可包含一或多個實質上鈍性的表面。在一些實施例中,結構304的第四子集312可包含複雜的結構如用於形成鰭狀場效電晶體的鰭狀結構。因此一些例子中的第四子集112可包含親水性結構、疏水性結構、與實質上鈍性結構的多種組合,並具有親水性表面、疏水性表面、與實質上鈍性表面的多種組合。在一些實施例中,結構304之第一子集306、第二子集308、第三子集310、與第四子集312各自包含的材料組成 通常可表示為化學式MXb,其中M為金屬或矽,X為氮或氧,且b介於約0.4至約2.5之間。因此除了用於每一子集的上述材料之外,第一子集306、第二子集308、第三子集310、與第四子集312之一或多者可包含其他材料如氧化矽、氮化矽、氧化鋁、氧化鉿、氧化鑭、或化學式MXb的其他材料。如此一來,結構304與結構104類似,其基板302的表面具有多種表面特性(比如不同的表面種類及/或不同的表面特性)。
方法200之步驟沉積底漆材料於多個結構上。如第3A與3B圖所示之一實施例中的步驟204,係包含底漆材料320沉積於基板302及多個結構304上的裝置300其剖視圖。特別的是,底漆材料320可沉積於第一子集306、第二子集308、第三子集310、與第四子集312上。如此一來,在沉積底漆材料320之後,結構304可提供基板表面321所需的之一致的表面特性。如此一來,本發明實施例可讓基板表面具有實質上一致的特性,並讓後續沉積的層狀物(如旋轉塗佈碳或旋轉塗佈玻璃)具有優異的一致性與平坦性。
在多種實施例中,底漆材料320可包含螯合材料如多牙基配體,其將搭配第7、8、與9A至9K圖詳述如下。在多種例子中,底漆材料320與基板302及/或結構304具有強作用力,因此結構304上塗佈的底漆材料一致且穩定。在一些實施例中,底漆材料320的沉積方法可為旋轉塗佈製程、氣相沉積、或另一合適的沉積方法。在至少一例中,底漆材料320可順應性地沉積於裝置300上。舉例來說,一些例子中的底漆材料320至少可順應性地沉積於裝置300其表面輪廓(比如包含結構304 與露出的基板302)。底漆材料320的沉積將搭配第4A、4B、5A、5B、5C、5D、6A、與6B圖詳述於下。
方法200之步驟206形成層狀物於底漆材料上。如第3B與3C圖所示之一實施例中的步驟206,係包含碳的底層322形成於基板302及多個結構304上的裝置300其剖視圖。特別的是,碳的底層322形成於步驟204沉積之底漆材料320上並與其接觸。在一些實施例中,碳的底層322實質上與上述第1B圖之碳的底層122實質上相同。如此一來,碳的底層322同樣可為部份的多層硬遮罩,其可用於部份的光微影製程。在一些實施例中,碳的底層322包含旋轉塗佈碳層,其上沉積有矽硬遮罩如旋轉塗佈玻璃層。在一些例子中,薄光阻層沉積於矽硬遮罩上,其可用於圖案化矽硬遮罩,且圖案化方法可為曝光、顯影、與蝕刻等製程。之後在一些例子中,圖案化的矽硬遮罩可用於圖案化碳的底層322。與至少一些現有的製程(如第1B圖所示)相較,碳的底層322之表面324具有優異的一致性與優異的平坦性,其直接歸因於在沉積碳的底層322之前,先沉積底漆材料320於結構304上,其中底漆材料320使基板322具有一致的表面特性。舉例來說,在具有底漆材料320的多種實施例中的旋轉塗佈製程時,沉積之碳的底層322以及結構304之第一子集306、第二子集308、第三子集310、與第四子集312的表面之間具有類似作用力(如類似的吸引性作用力),結果形成表面324。如此一來,採用底漆材料320可回復因沉積層狀物之表面特性不一致所造成的損失,比如焦距深度、對比、與製程容忍度。此外在至少一些實施例中,底漆材料320可同時改善填隙問題 如前述。此外,當實施例包含碳的底層沉積於底漆材料上,應理解底漆材料可用於需改質基板的其他情況,以提供具有一致特性的基板表面。如此一來,一些實施例中的其他材料及/或層狀物(除了碳的底層以外的其他層狀物)可沉積於底漆材料上,此亦屬本發明實施例的範疇。
在一實施例中,方法200之步驟204中底漆材料320之沉積方法如第4A、4B、5A、5B、5C、5D、6A、與6B圖所示。在第4A與4B圖中,方法400以底漆材料320塗佈基板302與結構304。在此例中,沉積的方法400包含旋轉塗佈製程,其施加底漆材料320至基板302上,其中施加方法可經由第4A圖所示的噴嘴402,且可固定或以低速旋轉基板302。在施加底漆材料320後高速旋轉基板302,且旋轉速率與時間取決於基板302上的底漆材料320最後所需之厚度t而定。在一些實施例中,之後可進行烘烤製程404(見第4B圖)以自旋轉塗佈的底漆材料320移除溶劑。在一些實施例中,方法400沉積的底漆材料320其厚度t小於10nm。
第5A、5B、5C、與5D圖所示的例子,係以底漆材料320塗佈基板302與結構304之另一沉積的方法500。在此例中,沉積的方法500亦包含旋轉塗佈製程,其施加底漆材料320至基板302上,其中施加方法可經由第4A圖所示的噴嘴402,且以高速旋轉基板302。上述步驟形成於基板302上的底漆材料320具有第一厚度t1。之後在一些實施例中,可進行第一烘烤製程504(見第5B圖)以自旋轉塗佈的底漆材料320移除溶劑。在一些實施例中,方法400沉積的底漆材料320其第一厚度t1大於約 10nm。此外在一些例子中,第一厚度t1可能過厚。因此在一些實施例中,可採用去離子水或溶劑進行沖洗製程,其施加水或適當溶劑(比如經由第5C圖所示的噴嘴506)至先前沉積於基板302上的底漆材料320上。在多種例子中,進行沖洗製程時可旋轉或固定基板302。在此例中,底漆材料320至少可部份地溶解於水或溶劑中,因佌沖洗製程將減少底漆材料320的厚度。舉例來說,底漆材料320經沖洗後可具有第二厚度t2,且第二厚度小於第一厚度t1。在一些實施例中,第二厚度t2小於約10nm。在一些實施例中,之後可進行第二烘烤製程508(見第5D圖),以移除沖洗製程導入的水。在一些實施例中,沉積的方法500其沖洗製程除了薄化底漆材料外,亦有助於增加底漆材料320的一致性。在至少一些實施例中,需要薄化底漆材料320如上述,以簡化之後移除底漆材料的步驟。
第6A與6B圖所示的例子,係以底漆材料320塗佈基板302與結構304之沉積的方法600。在此例中,沉積的方法600包含氣相沉積製程,其施加氣態的底漆材料320至基板302上,如第6A圖所示。在一些實施例中,氣相沉積製程的製程參數可擇以使基板302上的底漆材料320最後具有所需的厚度t。在一些例子中,在升溫(高於室溫)中進行氣相沉積製程,因此在沉積底漆材料時,可同時自底漆材料320及/或基板302的表面移除溶劑及/或其他濕氣(如水)。在一些實施例中,方法600沉積的底漆材料320其厚度t小於約10nm。
第7圖詳述一實施例中,沉積於表面(如基板302的表面及/或結構304的表面)上之底漆材料(如底漆材料320)。特 別的是,第7圖之底漆材料702接觸並鍵結至層狀物704。在一些例子中,底漆材料702可包含多個單體(或寡聚物)接觸並鍵結至層狀物704。在一些例子中,這些單體(或寡聚物)具有低分子量,比如重均分子量低於約800。在一些實施例中,層狀物704包含部份的基板如基板302。在一些例子中,層狀物704可包含結構304其部份的第一子集306、第二子集308、第三子集310、或第四子集312。如此一來,多種實施例中的層狀物704可包含結構304之第一子集306、第二子集308、第三子集310、或第四子集312的一或多種材料及/或結構如前述,比如氧化矽、氮化矽、氮氧化矽、氮化鈦、氧化鈦、氮氧化鈦、碳氧化矽、其他疏水材料、親水材料、金屬、複合鰭狀結構、或上述之組合。僅為了說明目的,第7圖之層狀物704可包括含金屬層(如氮化鈦),其具有一或多個金屬原子706與一或多個氮原子708。一般而言,一些實施例中的層狀物704可為實質上鈍性的層狀物,比如與塗佈的材料具有低作用力。如此多種實施例中的底漆材料702可改質基板,比如將實質上鈍性的表面改質為基板表面321,使其與後續沉積的塗佈材料(如後續沉積的旋轉塗佈碳層)具有較大作用力。在至少一些實施例中,「改質基板」指的是在後續沉積塗佈材料如旋轉塗佈碳層之前,先改質露出的表面型態(比如將鈍性表面改質為吸引性表面)。
如前所述的多種實施例中,底漆材料702包含螯合材料如多牙基配體。此處所述之「螯合材料」可用以描述與上述含金屬材料及/或其他實質上鈍性材料具有有效作用力及/或鍵結的材料(如底漆材料702),在第7圖的一些實施例中,基團 P1與P2可各自包含C1-C20之飽合或不飽合的烴環。在一些例子中,基團P1與P2可各自包含C2-C20之雜環基,其包含鏈狀、環狀、或立體結構。此外在第7圖的多種實施例中,官能基A與B可鍵結至P1與P2。此外,多種實施例中的官能基A與B可包含螯合官能基(比如具有強螯合效應),因此官能基A與B各自與金屬(如鍵結至螯合的官能基A之金屬原子706)具有強作用力。在一些實施例中,螯合的官能基B鍵結至後續形成的層狀物(如旋轉塗佈碳層)。在一些實施例中,官能基A與B包含相同的官能基。在其他實施例中,官能基A與B包含不同的官能基。舉例來說,螯合的官能基A與B可各自包含CO、CN-、C6H5-、NO2、酚、胺、吡啶、二吡啶、OCCO、NH3、-OH、與COOH中至少一者。在一些實施例中,底漆材料702亦可包含酸活性基團、光酸產生劑、與溶解抑制劑中的一或多者。在一些實施例中,官能基A與B可經由適當活化而轉換極性,且活化方式可為酸性條件、鹼性條件、紫外線硬化條件、及/或熱處理條件。特別的是在一些實施例中,極性轉換後的官能基A與B可各自包含至少一螯合的官能基。在一例中,官能基A及/或B一開始在極性轉換前可包含酯基,且在極性轉換後可包含羧酸基與離去基。在另一例中,官能基A及/或B一開始在極性轉換前可包含烷基酚,且在極性轉換後可包含酚與離去基。在多種實施例中於沉積底漆材料702後,且在極性轉換後的一些例子後,後續形成的層狀物如旋轉塗佈碳層可形成於底漆層702上。如前所述,由於底漆材料702提供改質基板,後續形成的層狀物可具有優異的一致性與平坦性。
第8圖係一實施例中,沉積於表面(如基板302的表面及/或結構304的表面)上的底漆材料(如底漆材料320)之詳圖。特別的是,第8圖顯示底漆材料802接觸並鍵結至層狀物804。在一些實施例中,層狀物804可與上述第7圖之層狀物704實質上相同。在一些例子中,底漆材料802可包含聚合物(如單一聚合物、多種聚合物的混摻、或單體與聚合物的混摻)接觸並鍵結至層狀物804。在一些例子中,聚合物具有高分子量,比如重均分子量大於約800。僅為了說明目的,第8圖之層狀物804可包括含金屬層(如氮化鈦),其具有一或多個金屬原子806與一或多個氮原子808。如上述的一實施例,底漆材料802可用於改質基板,比如將實質上鈍性的表面改質為基板表面321,以與後續沉積的塗佈材料(如後續沉積的旋轉塗佈碳層)具有較大的作用力。
在多種實施例中,底漆材料802包含螯合材料如多牙基配體。在第8圖的一些實施例中,底漆材料802可包含聚合物主鏈810以鍵結至每一螯合官能基。在一些實施例中,聚合物主鏈810可包含丙烯酸甲酯、丙烯酸酯、聚乙烯、聚苯乙烯、上述之衍生物、或其他合適的聚合物主鏈。在一些實施例中,螯合的官能基A與B可與上述第7圖所述之螯合的官能基A與B實質上相同。舉例來說,螯合的官能基A與B與金屬(如鍵結至螯合的官能基A之金屬原子806)具有強作用力。在一些實施例中,螯合的官能基B鍵結至後續形成的層狀物(如旋轉塗佈碳層)。如上所述,官能基A與B包含相同或不同的官能基。在多種實施例中,底漆材料802之螯合的官能基A與B同樣可各自包 含CO、CN-、C6H5-、NO2、酚、胺、吡啶、二吡啶、OCCO、NH3、-OH、與COOH中至少一者。在一些實施例中,底漆材料802亦可包含酸活性基團、光酸產生劑、與溶解抑制劑中的一或多者。在一些實施例中,官能基A與B可各自經由適當活化而轉換極性,且活化方式可為酸性條件、鹼性條件、紫外線硬化條件、及/或熱處理條件。特別的是在一些實施例中,極性轉換後的官能基A與B可各自包含至少一螯合的官能基。在多種實施例中於沉積底漆材料802後,且在極性轉換後的一些例子後,後續形成的層狀物如旋轉塗佈碳層可形成於底漆層802上。如前所述,由於底漆材料802提供改質基板,後續形成的層狀物可具有優異的一致性與平坦性。
第9A至9K圖係多種實施例中,底漆材料與螯合的官能基之結構。特別的是,第9A圖之底漆材料900包含聚合物主鏈902(其可與聚合物主鏈810實質上相同)以及螯合的官能基903,其中螯合的官能基903包含酚與羧酸。在一些實施例中,底漆材料亦可包含氮的官能基。第9B圖所示之底漆材料905包含聚合物主鏈902與螯合的官能基907,其中螯合的官能基907包含酚與胺基。第9C圖所示之底漆材料910包含聚合物主鏈902與螯合的官能基913,其中螯合的官能基913包含吡啶與胺基。第9D圖所示之底漆材料915包含聚合物主鏈902與螯合的官能基917,其中螯合的官能基917包含酚與胺基。第9E圖所示之底漆材料920包含聚合物主鏈902與螯合的官能基923,其中螯合的官能基923包含吡啶與酚。第9F圖所示之底漆材料925包含聚合物主鏈902與螯合的官能基927,其中螯合的官能基927包含 醯胺與酚。第9G圖所示之底漆材料930包含聚合物主鏈902與螯合的官能基933,其中螯合的官能基933包含酚與烷基酚。第9H圖所示之底漆材料935包含聚合物主鏈902與螯合的官能基937,其中螯合的官能基937包含羧酸。第9I圖所示之底漆材料940包含聚合物主鏈902與螯合的官能基943,其中螯合的官能基943包含酯與烷基酚。第9J圖所示之底漆材料945包含聚合物主鏈902與螯合的官能基947,其中螯合的官能基947包含NR2與COOH。第9K圖所示之底漆材料950包含聚合物主鏈902與螯合的官能基953,其中螯合的官能基953包含NR2與COOR。在一些包含基團R的實施例中,比如第9D、9F、9G、9H、與9I圖的例子中,基團R可斷裂於暴露至酸性條件、鹼性條件、紫外線硬化條件、及/或熱處理條件。第9A至9K圖提供底漆材料結構的一些例子,但應理解在未偏離本發明範疇的情況下,可採用其他底漆材料結構與其他官能基。
相較於現有技術,本發明實施例提供一些優點。然而應理解其他實施例可提供不同優點,上述內容不必討論所有的優點,且所有實施例均不需具有特定優點。舉例來說,實施例包含材料組成,以及在沉積後續層狀物如旋轉塗佈碳、旋轉塗佈玻璃、及/或光阻層之前,先改質具有多種表面特性(如疏水性、親水性、鈍性、或其他性質)之基板的方法。舉例來說,實施例使旋轉塗佈的層狀物具有優異的平坦性,不論沉積層狀物於其上的基板表面之特性如何。在多種實施例中,可在沉積其他層如旋轉塗佈碳或旋轉塗佈玻璃層之前,先沉積底漆材料層使其他層具有優異的平坦性。在多種實施例中,底漆層 可讓基板表面(比如沿著底漆層之露出的上表面)具有實質上一致的特性,比如整個基板與多個結構的表面均具有相似的表面吸引力。如此一來,之後沉積於底漆材料其露出上表面上之層狀物(如旋轉塗佈碳或旋轉塗佈玻璃)具有優異的一致性與平坦性。此外,多種實施例中的底漆材料與相關方法可回復沉積之層狀物的不一致表面特性所造成的性質損失,比如焦距深度、對比、與製程容忍度。此外,在本發明至少一些實施例中,由於底漆材料與基板表面之間的強作用力,因此底漆材料具有好的填隙效能,可同時改善填隙問題。本技術領域中具有通常知識者應理解,在未偏離本發明實施例範疇的情況下,上述方法可應用於多種其他半導體結構、半導體裝置、與半導體製程,以利達到上述的類似優點。
因此本發明一實施例之方法包括半導體裝置的形成方法,包括圖案化一基板以包含多個結構。在一些實施例中,結構包含第一子集,其具有一或多個實質上鈍性的表面。在多種實施例中,沉積底漆材料於基板上、結構上、以及實質上鈍性的表面上。舉例來說,沉積的底漆材料至少鍵結至實質上鈍性的表面。此外,一些實施例中沉積的底漆材料提供改質的基板表面。在一些例子中,在沉積底漆材料後,旋轉塗佈層狀物於改質的基板表面上。在多種實施例中,旋轉塗佈的層狀物實質上平坦。
在一些實施例中,上述方法更包括圖案化基板以包含結構,其中結構包含第二子集,其具有一或多個較親水性的表面;以及沉積底漆材料於較親水性的表面上,其中沉積的 底漆材料鍵結至較親水性的表面。
在一些實施例中,上述方法更包括圖案化基板以包含結構,其中結構包含第三子集,其具有一或多個較疏水性的表面;以及沉積底漆材料於較疏水性的表面上,其中沉積的底漆材料鍵結至較疏水性的表面。
在一些實施例中,上述方法之結構的第一子集包括金屬層、氮化物層、或上述之組合。
在一些實施例中,上述方法之底漆材料包括螯合的官能基,其具有CO、CN-、C6H5-、NO2、酚、胺、吡啶、二吡啶、OCCO、NH3、-OH、與COOH中至少一者。
在一些實施例中,上述方法之旋轉塗佈層包含旋轉塗佈碳層。
在一些實施例中,上述方法在沉積底漆材料之後以及在旋轉塗佈層狀物於改質的基板表面上之前,更包括將底漆材料暴露至酸性條件、鹼性條件、紫外線硬化條件、與熱處理條件中至少一者以活化底漆材料。
在一些實施例中,上述方法之沉積的底漆材料包含鍵結至鈍性表面的第一官能基,以及提供改質之基板表面的第二官能基。
在一些實施例中,上述方法在沉積底漆材料之後,更包括旋轉塗佈層狀物於改質的基板表面上,其中旋轉塗佈的層狀物鍵結至第二官能基。
在一些實施例中,上述方法之第一官能基與第二官能基相同。
在一些實施例中,上述方法之第一官能基與第二官能基不同。
在另一實施例中,方法包含圖案化基板以包含多個結構,其第一子集具有第一表面,且第二子集具有第二表面。在一些實施例中,第一表面的第一表面特性不同於第二表面的第二表面特性。在多種例子中,螯合的材料沉積於基板以及結構的第一子集與第二子集上。在一些實施例中,沉積之螯合的材料至少鍵結至第一表面與第二表面,以提供塗有底漆的基板表面。在多種實施例中,在沉積螯合的材料之後,沉積旋轉塗佈碳層於塗有底漆的基板表面上,其中旋轉塗佈碳層實質上平坦。
在一些實施例中,上述方法更包括圖案化基板以包含結構,結構包含第三子集,第三子集包含第三表面,且第三表面的第三表面特性不同於第一表面特性與第二表面特性;以及沉積螯合的材料於基板及結構的第三子集上,其中沉積之螯合的材料至少鍵結至第三表面以提供塗有底漆的基板表面。
在一些實施例中,上述方法之第一表面包括實質上鈍性的表面,第二表面包括親水性表面,且第三表面包括疏水性表面。
在一些實施例中,上述方法更包括圖案化基板以包含第三子集,且第三子集具有第一表面與第二表面之組合。
在一些實施例中,上述螯合的材料包括官能基,其具有CO、CN-、C6H5-、NO2、酚、胺、吡啶、二吡啶、OCCO、 NH3、-OH、與COOH中至少一者。
在其他實施例中,方法包括圖案化一組結構於基板上,其中結構包含一或多個表面,其具有第一作用力。在一些實施例中,沉積底漆材料於結構上,且沉積的底漆材料至少鍵結至一或多個表面。此外,一些實施例中沉積的底漆材料之上表面提供改質的基板表面,其具有第二作用力,且第二作用力大於第一作用力。在一些例子中,在沉積底漆材料後,旋轉塗佈層狀物於改質的基板表面上,其中旋轉塗佈的層狀物鍵結至沉積的底漆材料之上表面。此外,旋轉塗佈的層狀物實質上平坦。
在一些實施例中,上述方法更包括沉積底漆材料於結構上,其中沉積的底漆材料具有第一厚度;在沉積底漆材料之後以及在旋轉塗佈層狀物於改質的基板表面上之前,薄化底漆材料,且薄化的底漆材料之第二厚度小於第一厚度。
在一些實施例中,結構之材料組成具有化學式MXb,其中M為金屬或矽,X為氮或氧,且b介於約0.4至約2.5之間。
在一些實施例中,底漆材料具有螯合的官能基,其具有CO、CN-、C6H5-、NO2、酚、胺、吡啶、二吡啶、OCCO、NH3、-OH、與COOH中至少一者。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本揭露。本技術領域中具有通常知識者應理解可採用本揭露作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者 亦應理解,這些等效置換並未脫離本揭露精神與範疇,並可在未脫離本揭露之精神與範疇的前提下進行改變、替換、或更動。

Claims (1)

  1. 一種半導體裝置的形成方法,包括:圖案化一基板,以包含多個結構,其中該些結構包含一第一子集,其具有一或多個實質上鈍性的表面;沉積一底漆材料於該基板上、該些結構上、以及該或該些實質上鈍性的表面上,其中沉積的該底漆材料至少鍵結至該或該些實質上鈍性的表面,且沉積的該底漆材料提供一改質的基板表面;以及在沉積該底漆材料後,旋轉塗佈一層狀物於該改質的基板表面上,其中旋轉塗佈的該層狀物實質上平坦。
TW106114941A 2016-12-15 2017-05-05 半導體裝置的形成方法 TWI714766B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/380,911 2016-12-15
US15/380,911 US10163632B2 (en) 2016-12-15 2016-12-15 Material composition and process for substrate modification

Publications (2)

Publication Number Publication Date
TW201824339A true TW201824339A (zh) 2018-07-01
TWI714766B TWI714766B (zh) 2021-01-01

Family

ID=62562672

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106114941A TWI714766B (zh) 2016-12-15 2017-05-05 半導體裝置的形成方法

Country Status (3)

Country Link
US (2) US10163632B2 (zh)
CN (1) CN108231547B (zh)
TW (1) TWI714766B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10163632B2 (en) * 2016-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and process for substrate modification
CN113035695A (zh) * 2021-02-25 2021-06-25 泉芯集成电路制造(济南)有限公司 一种掩膜结构的制备方法、半导体器件及其制备方法

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7429495B2 (en) * 2002-08-07 2008-09-30 Chang-Feng Wan System and method of fabricating micro cavities
US7135402B2 (en) * 2005-02-01 2006-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing pores of low-k dielectrics using CxHy
DE102005036427A1 (de) * 2005-08-03 2007-02-08 Schott Ag Substrat, umfassend zumindest eine voll- oder teilflächige makrostrukturierte Schicht, Verfahren zu deren Herstellung und deren Verwendung
US7732329B2 (en) * 2006-08-30 2010-06-08 Ipgrip, Llc Method and apparatus for workpiece surface modification for selective material deposition
US8518628B2 (en) 2006-09-22 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Surface switchable photoresist
US7635652B2 (en) * 2007-03-13 2009-12-22 Taiwan Semiconductor Manufacturing Co. Ltd. Mask with hydrophobic surface
US8580117B2 (en) 2007-03-20 2013-11-12 Taiwan Semiconductor Manufactuing Company, Ltd. System and method for replacing resist filter to reduce resist filter-induced wafer defects
US20080233489A1 (en) * 2007-03-22 2008-09-25 Graciela Beatriz Blanchet Method to form a pattern of functional material on a substrate using a stamp having a surface modifying material
US8216767B2 (en) 2009-09-08 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process and chemical amplified photoresist with a photodegradable base
US8513129B2 (en) * 2010-05-28 2013-08-20 Applied Materials, Inc. Planarizing etch hardmask to increase pattern density and aspect ratio
WO2012018983A2 (en) * 2010-08-05 2012-02-09 Brewer Science Inc. Methods of producing structures using a developer-soluble layer with multilayer technology
US8367534B2 (en) * 2010-09-17 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniformity reduction in semiconductor planarization
US8765573B2 (en) * 2010-09-20 2014-07-01 Applied Materials, Inc. Air gap formation
US8138097B1 (en) * 2010-09-20 2012-03-20 Kabushiki Kaisha Toshiba Method for processing semiconductor structure and device based on the same
JP6035017B2 (ja) * 2010-10-04 2016-11-30 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 下層組成物および下層を像形成する方法
US8323870B2 (en) 2010-11-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and photoresist with zipper mechanism
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
US8741551B2 (en) 2012-04-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and composition of a dual sensitive resist
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
KR101948222B1 (ko) * 2012-06-15 2019-02-14 에스케이하이닉스 주식회사 홀 패터닝을 위한 마스크패턴 및 그를 이용한 반도체장치 제조 방법
US9851636B2 (en) 2012-07-05 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Materials and methods for improved photoresist performance
US20140017615A1 (en) 2012-07-11 2014-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for resist coating and developing
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US9050621B2 (en) * 2013-01-24 2015-06-09 Corning Incorporated Surface nanofabrication methods using self-assembled polymer nanomasks
US8936903B2 (en) 2013-03-09 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photo-resist with floating acid
US8932799B2 (en) 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US9793137B2 (en) * 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
JP6014110B2 (ja) * 2013-12-23 2016-10-25 ダウ グローバル テクノロジーズ エルエルシー ギャップ充填方法
US9761449B2 (en) * 2013-12-30 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gap filling materials and methods
US10177001B2 (en) * 2016-05-31 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Surface modifying material for semiconductor device fabrication
US10672619B2 (en) * 2016-12-15 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US10163632B2 (en) * 2016-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and process for substrate modification
US10163648B2 (en) * 2017-05-19 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of semiconductor device fabrication having application of material with cross-linkable component

Also Published As

Publication number Publication date
US20190206680A1 (en) 2019-07-04
TWI714766B (zh) 2021-01-01
US10802402B2 (en) 2020-10-13
US10163632B2 (en) 2018-12-25
US20180174837A1 (en) 2018-06-21
CN108231547B (zh) 2022-01-21
CN108231547A (zh) 2018-06-29

Similar Documents

Publication Publication Date Title
JP5542766B2 (ja) パターン形成方法
TWI529816B (zh) 藉嵌段共聚物之自組裝而在一基板上提供具間隔的微影特徵之方法
US10538859B2 (en) Methods for providing patterned orientation templates for self-assemblable polymers for use in device lithography
JP4990479B2 (ja) 多層リソグラフィープロセスに関する新規な平坦化方法
KR101350072B1 (ko) 서브 리소그래픽 패터닝을 위해 블록 공중합체 자기 조립을 사용하는 방법
US9182673B2 (en) Method for providing a template for a self-assemblable polymer for use in device lithography
TWI631434B (zh) 硬化光阻之紫外線輔助剝離以建立用於定向自組裝之化學模板
TWI678598B (zh) 於基板表面製圖用之製圖磊晶法
US8974682B2 (en) Self-assembled pattern forming method
JP5758363B2 (ja) パターン形成方法
US9190274B2 (en) Methods of fabricating fine patterns
KR102241758B1 (ko) 패턴 형성 방법 및 이를 이용한 와이어 그리드 편광 소자의 제조방법
JP6470079B2 (ja) パターン形成方法
JP2014063884A (ja) パターン形成方法
JP3506248B2 (ja) 微小構造の製造方法
US20150050599A1 (en) Methods of providing patterned epitaxy templates for self-assemblable block copolymers for use in device lithography
US9229324B2 (en) Methods of providing patterned templates for self-assemblable block copolymers for use in device lithography
JP2013165151A (ja) パターン形成方法
TWI714766B (zh) 半導體裝置的形成方法
US9841674B2 (en) Patterning method, and template for nanoimprint and producing method thereof
JP2012005939A (ja) パターン形成方法
JP2017157590A (ja) パターン形成方法
JP2018160537A (ja) パターン形成方法
KR100734664B1 (ko) 랭뮤어 블로젯막의 배향 원리를 이용한 미세 패턴 형성방법